skip to main content
10.1145/2212908.2212933acmconferencesArticle/Chapter ViewAbstractPublication PagescfConference Proceedingsconference-collections
research-article

Concurrent hybrid switching for massively parallel systems-on-chip: the CYBER architecture

Published:15 May 2012Publication History

ABSTRACT

Massively Parallel Systems-on-chip represent the new frontier of integrated computing systems for general purpose computing. The integration of a huge number of cores poses several issues such as the efficiency and flexibility of the interconnection network in order to serve in the best way the different traffic patterns that can arise.

In this paper we present the CYBER architecture, an advanced Network-on-Chip (NoC) for concurrent hybrid switching with prioritized best effort Quality of Service. Compared to similar architectures, CYBER allows the simultaneous exploitation of packet switching and circuit switching, providing two different priorities to packets in order to be able to transmit urgent messages (e.g. signalling) while long-lasting transactions and huge packets congestion are present. In terms of the typical NoC metrics, evaluated on synthetic traffic representative of several application categories, their standard trend is degraded while serving both circuit and packet switching simultaneously but the architecture preserves a predictable behaviour. A CMOS 90nm implementation reveals a maximum operating frequency of about 1GHz.

References

  1. B. Ahamad, A. Erdogan, and S. Khawarm. Architecture of a dynamically reconfigurable NoC for adaptive reconfigurable MPSoC. In Proc. of the first NASA/ESA Conf. on Adaptive Hardware and Systems (AHS'06), 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. F. Angiolini and S. Murali. Communication Architectures for Systems-on-Chip, chapter 4 - Quality-of-Service in NoCs, pages 127--157. CRC Press, 2011.Google ScholarGoogle Scholar
  3. N. Banerjee, P. Vellanki, and K. S. Chatha. A power and performance model for network-on-chip architectures. In DATE '04: Proceedings of the conference on Design, automation and test in Europe, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. T. Bjerregaard and J. Sparsø. A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip. In Proceedings of Design, Automation and Testing in Europe Conference 2005 (DATE05). IEEE, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny. QNoC: QoS architecture and design process for network on chip. Journal of Systems Architecture, special issue on Network on Chip, 50:105--128, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. T. Caohuu, T. T. Le, M. Glesner, and J. Becker. Dynamically reconfigurable reduced crossbar: A novel approach to large scale switching. In FPL '99: Proceedings of the 9th International Workshop on Field-Programmable Logic and Applications, pages 507--513, London, UK, 1999. Springer-Verlag. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. C.-H. O. Chen, N. Agarwal, T. Krishna, K.-H. Koo, L.-S. Peh, and K. C. Saraswat. Physical vs. virtual express topologies with low-swing links for future many-core nocs. Networks-on-Chip, International Symposium on, pages 173--180, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. W. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Diemer and R. Ernst. Back suction: Service guarantees for latency-sensitive on-chip networks. Networks-on-Chip, International Symposium on, pages 155--162, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. F. Gilabert, M. E. Gomez, S. Medardoni, and D. Bertozzi. Improved utilization of noc channel bandwidth by switch replication for cost-effective multi-processor systems-on-chip. Networks-on-Chip, International Symposium on, pages 165--172, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. K. Goossens, J. Dielissen, and A. Radulescu. The Æthereal network on chip: Concepts, architectures, and implementations. IEEE Design and Test of Computers, 22(5):21--31, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. K. Goossens and A. Hansson. The Æthereal network on chip after ten years: Goals, evolution, lessons, and future. In Proc. Design Automation Conference (DAC), June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. B. Grot, J. Hestness, S. W. Keckler, and O. Mutlu. Kilo-noc: a heterogeneous network-on-chip architecture for scalability and service guarantees. In Proceedings of the 38th annual international symposium on Computer architecture, ISCA '11, pages 401--412, New York, NY, USA, 2011. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. S. Hsu, Y. Lin, and J. Jou. Design of a dual-mode noc router integrated with network interface for amba-based ips. In Proc. IEEE Asian Solid-State Circuits Conf., pages 211--214, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  15. N. D. E. Jerger, L.-S. Peh, and M. H. Lipasti. Circuit-switched coherence. In NOCS '08: Proc. of the Second International Symposium on Networks-on-Chip, pages 193--202, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. M. Livingston and Q. Stout. Parallel allocation algorithms for hypercubes and meshes. In Proc. 4th Conf. Hypercube Concurrent Comput. Applications, pages 59--66, 1989.Google ScholarGoogle Scholar
  17. M. Millberg, E. Nilsson, R. Thid, S. Kumar, and A. Jantsch. The nostrum backbone-a communication protocol stack for networks on chip. In VLSI Design, 2004. Proceedings. 17th International Conference on, pages 693 -- 696, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. U. Y. Ogras, J. Hu, and R. Marculescu. Key research problems in noc design: A holistic perspective. In International Conference on Hardware - Software Codesign and System Synthesis, September 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. F. Palumbo, D. Pani, L. Raffo, and S. Secchi. A surface tension and coalescence model for dynamic distributed resources allocation in massively parallel processors on-chip. In NICSO '07: Proc of the International Workshop on Nature Inspired Cooperative Strategies for Optimization, pages 335--345. 2007.Google ScholarGoogle Scholar
  20. F. Palumbo, S. Secchi, D. Pani, and L. Raffo. A novel non-exclusive dual-mode architecture for mpsocs-oriented network on chip designs. In SAMOS08: Proc. of the 8th international workshop on Embedded Computer Systems, pages 96--105, Berlin, Heidelberg, 2008. Springer-Verlag. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. D. Pani, F. Palumbo, and L. Raffo. A fast mpi-based parallel framework for cycle-accurate HDL multi-parametric simulations. Int. J. High Perform. Syst. Archit., 2:187--202, August 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. picoArray. picoChip company. http://www.picochip.com/, web.Google ScholarGoogle Scholar
  23. A. D. Rast, X. Jin, F. Galluppi, L. A. Plana, C. Patterson, and S. Furber. Scalable event-driven native parallel processing: the SpiNNaker neuromimetic system. In Proceedings of the 7th ACM international conference on Computing frontiers, pages 21--30, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. S. Sathe, D. Wiklund, and D. Liu. Design of a switching node (router) for on-chip networks. Proceedings of the 5th International Conference on ASIC, pages 75--78, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  25. Y. H. Song and T. M. Pinkston. A progressive approach to handling message-dependent deadlock in parallel computer systems. IEEE Transactions on Parallel and Distributed Systems, 14(3):259--275, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. W. Stallings. Operating Systems (5th Edition). 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Tilera. The tile64 chip. http://www.tilera.com/, web.Google ScholarGoogle Scholar
  28. F. Trivino, J. L. Sanchez, F. J. Alfaro, and J. Flich. Virtualizing network-on-chip resources in chip-multiprocessors. Microprocessors and Microsystems, In Press, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. F. Wu, C.-C. Hsu, and L.-P. Chou. Processor allocation in the mesh multiprocessors using the leapfrog method. IEEE Trans. Parallel Distrib. Syst., 14(3):276--289, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Concurrent hybrid switching for massively parallel systems-on-chip: the CYBER architecture

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      CF '12: Proceedings of the 9th conference on Computing Frontiers
      May 2012
      320 pages
      ISBN:9781450312158
      DOI:10.1145/2212908

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 15 May 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate240of680submissions,35%

      Upcoming Conference

      CF '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader