skip to main content
10.1145/2228360.2228409acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Self-aware computing in the Angstrom processor

Authors Info & Claims
Published:03 June 2012Publication History

ABSTRACT

Addressing the challenges of extreme scale computing requires holistic design of new programming models and systems that support those models. This paper discusses the Angstrom processor, which is designed to support a new Self-aware Computing (SEEC) model. In SEEC, applications explicitly state goals, while other systems components provide actions that the SEEC runtime system can use to meet those goals. Angstrom supports this model by exposing sensors and adaptations that traditionally would be managed independently by hardware. This exposure allows SEEC to coordinate hardware actions with actions specified by other parts of the system, and allows the SEEC runtime system to meet application goals while reducing costs (e.g., power consumption).

References

  1. Wattsup .net meter. http://www.wattsupmeters.com/.Google ScholarGoogle Scholar
  2. D. H. Albonesi, R. Balasubramonian, S. G. Dropsho, S. Dwarkadas, E. G. Friedman, M. C. Huang, V. Kursun, G. Magklis, M. L. Scott, G. Semeraro, P. Bose, A. Buyuktosunoglu, P. W. Cook, and S. E. Schuster. Dynamically tuning processor resources with adaptive processing. Computer, 36:49--58, December 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. J. Ansel, C. Chan, Y. L. Wong, M. Olszewski, Q. Zhao, A. Edelman, and S. Amarasinghe. PetaBricks: A language and compiler for algorithmic choice. In PLDI, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. R. Balasubramonian, D. Albonesi, A. Buyuktosunoglu, and S. Dwarkadas. Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures. In MICRO, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. R. Bitirgen, E. Ipek, and J. F. Martinez. Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach. In MICRO, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. B. Calhoun and A. Chandrakasan. A 256kb sub-threshold SRAM in 65nm CMOS. In ISSCC, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  7. L. Chang, D. Fried, J. Hergenrother, J. Sleight, R. Dennard, R. Montoye, L. Sekaric, S. McNab, A. Topol, C. Adams, K. Guarini, and W. Haensch. Stable SRAM cell design for the 32 nm node and beyond. In Symposium on VLSI Technology, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  8. C.-H. O. Chen, N. Agarwal, T. Krishna, K.-H. Koo, L.-S. Peh, and K. C. Saraswat. Physical vs. Virtual Express Topologies with Low-Swing Links for Future Many-core NoCs. In NOCS, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. H. Cho, M. Lis, K. S. Shim, M. Kinsy, T. Wen, and S. Devadas. Oblivious Routing in On-Chip Bandwidth-Adaptive Networks. In PACT, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. S. Choi and D. Yeung. Learning-Based SMT Processor Resource Distribution via Hill-Climbing. In ISCA, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. C. Dubach, T. M. Jones, E. V. Bonilla, and M. F. P. O'Boyle. A predictive model for dynamic microarchitectural adaptivity control. In MICRO, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Eastep, D. Wingate, M. D. Santambrogio, and A. Agarwal. Smartlocks: lock acquisition scheduling for self-aware synchronization. In ICAC, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. Gupta, W. Weber, and T. Mowry. Reducing memory and traffic requirements for scalable directory-based cache coherence schemes. In ICPP, 1990.Google ScholarGoogle Scholar
  14. H. Hoffmann, J. Eastep, M. D. Santambrogio, J. E. Miller, and A. Agarwal. Application heartbeats: a generic interface for specifying program performance and goals in autonomous computing environments. In ICAC, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. H. Hoffmann, M. Maggio, M. D. Santambrogio, A. Leva, and A. Agarwal. SEEC: A General and Extensible Framework for Self-Aware Computing. Technical Report MIT-CSAIL-TR-2011-046, MIT, November 2011.Google ScholarGoogle Scholar
  16. H. Hoffmann, S. Sidiroglou, M. Carbin, S. Misailovic, A. Agarwal, and M. Rinard. Dynamic knobs for responsive power-aware computing. In ASPLOS, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. N. Ickes, Y. Sinangil, F. Pappalardo, E. Guidetti, and A. Chandrakasan. A 10 pJ/cycle ultra-low-voltage 32-bit microprocessor system-on-chip. In ESSCIRC, sept. 2011.Google ScholarGoogle Scholar
  18. J. O. Kephart and D. M. Chess. The vision of autonomic computing. Computer, 36:41--50, January 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. O. Khan, H. Hoffmann, M. Lis, F. Hijaz, A. Agarwal, and S. Devadas. ARCc: A case for an architecturally redundant cache-coherence architecture for large multicores. In ICCD, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. C. Kim, D. Burger, and S. W. Keckler. An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. In ASPLOS, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. T.-H. Kim, J. Liu, J. Keane, and C. Kim. A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme. In ISSCC, 2007.Google ScholarGoogle ScholarCross RefCross Ref
  22. M. Kinsy, M. H. Cho, T. Wen, E. Suh, M. van Dijk, and S. Devadas. Application-Aware Deadlock-Free Oblivious Routing. In ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. R. Laddaga. Guest editor's introduction: Creating robust software through self-adaptation. IEEE Intelligent Systems, 14:26--29, May 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. E. Lau, J. E. Miller, I. Choi, D. Yeung, S. Amarasinghe, and A. Agarwal. Multicore performance optimization using partner cores. In HotPar, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. M. Maggio, H. Hoffmann, M. D. Santambrogio, A. Agarwal, and A. Leva. Power optimization in embedded systems via feedback control of resource allocation. IEEE Transactions on Control Systems Technology, PP(99):1--8.Google ScholarGoogle Scholar
  26. M. Maggio, H. Hoffmann, M. D. Santambrogio, A. Agarwal, and A. Leva. Controlling software applications via resource allocation within the heartbeats framework. In CDC, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  27. M. Maggio, H. Hoffmann, M. D. Santambrogio, A. Agarwal, and A. Leva. Decision making in autonomic computing systems: comparison of approaches and techniques. In ICAC, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. J. E. Miller, H. Kasture, G. Kurian, C. Gruenwald III, N. Beckmann, C. Celio, J. Eastep, and A. Agarwal. Graphite: A distributed parallel simulator for multicores. In HPCA, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  29. MIT. The MIT angstrom project. http://projects.csail.mit.edu/angstrom, 2012.Google ScholarGoogle Scholar
  30. R. Riedlinger, R. Bhatia, L. Biro, B. Bowhill, E. Fetzer, P. Gronowski, and T. Grutkowski. A 32nm 3.1 billion transistor 12-wide-issue Itanium processor for mission-critical servers. In ISSCC, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  31. E. Rotem, A. Naveh, D. R. amd Avinash Ananthakrishnan, and E. Weissmann. Power management architecture of the 2nd generation Intel Core microarchitecture, formerly codenamed Sandy Bridge. In Hot Chips, Aug. 2011.Google ScholarGoogle ScholarCross RefCross Ref
  32. M. Salehie and L. Tahvildari. Self-adaptive software: Landscape and research challenges. ACM Trans. Auton. Adapt. Syst., 4(2):1--42, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. M. Sinangil, H. Mair, and A. Chandrakasan. A 28nm high-density 6T SRAM with optimized peripheral-assist circuits for operation down to 0.6V. In ISSCC, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  34. P. Team. Online document, http://icl.cs.utk.edu/papi/.Google ScholarGoogle Scholar
  35. S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The splash-2 programs: characterization and methodological considerations. SIGARCH Comput. Archit. News, 23:24--36, May 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Self-aware computing in the Angstrom processor

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '12: Proceedings of the 49th Annual Design Automation Conference
        June 2012
        1357 pages
        ISBN:9781450311991
        DOI:10.1145/2228360

        Copyright © 2012 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 3 June 2012

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader