skip to main content
research-article

Spatial and temporal thermal characterization of stacked multicore architectures

Published:15 August 2012Publication History
Skip Abstract Section

Abstract

Three-dimensional integration provides a new way of performance growth for microprocessor architectures. While a recent studies report promising performance improvement numbers, majority of the processor stacking options are thermally-limited. Elevated stack temperatures have significant effect on the overall energy efficiency and reliability of the processor; they also limit the potential peak performance improvement from the 3D implementation. Thermal characteristics of 3D stacks differ from 2D processors in various ways including: the nature of heat dissipation throughout the stack, thermal conductivity of the 3D structures such as micro-C4 layers, and hotspot interactions among layers. The intensity of the corresponding thermal problems is highly dependent on the 3D technology, processor and stack parameters. In this study we focus on spatial and temporal thermal characteristics of 3D multicore architectures using high-fidelity technology and processor models. Our experimental results highlight the need for integrating detailed thermal models in the design flow, starting with the early design stages. In addition, the reduced time constants and elevated on-chip temperatures indicate faster response time requirements for dynamic thermal management in processor stacking options.

References

  1. Banerjee, K., Souri, S., Kapur, P., and Saraswat, K. 2001. 3D ICs: A novel chip design for improving deep sub-micrometer interconnect performance and systems-on-chip integration. Proc. IEEE 89, 602--603.Google ScholarGoogle ScholarCross RefCross Ref
  2. Bernstein, K., Andry, P., Cann, J., Emma, P., Greenberg, D., Haensch, W., Ignotowski, M., Koester, S., Magerlein, J., Puri, R., and Young, A. 2007. Interconnects in the third dimension: Design challenges for 3D ICs. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'07). 562--567. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Black, B., Annavaram, M., Brekelbaum, N., Devale, J., Jiang, L, Loh, G., McCauley, D., Morrow, P., Nelson, D. W., Pantuso, D., Reed, P., Rupley, J., Shankar, S., Shen, J., and Webb, C. 2006. Die stacking microarchitecture. In Proceedings of the IEEE International Symposium on Microarchitecture. 469--479. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Burns, J., Carpenter, G., Kursun, E., Puri, R., Warnock, J., and Scheuermann, M. 2011. Design, CAD and technology challenges for future processors: 3D perspectives. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'11). Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Calimera, A., Duraisami, K., Visweswara Sathanur, A., Sithambaram, P., Bahar, I., Macii, A., Macii, E., and Poncino, M. 2008. Thermal-Aware design techniques for nanometer cmos circuits. J. Low Power Electron. 4, 3, 374--384.Google ScholarGoogle ScholarCross RefCross Ref
  6. Chen, C. K., Knect, J. M., and Wyatt, P. W. 2006. A wafer-scale 3D circuit integration technology. IEEE Trans. Electron. Dev. 53, 10, 2507--2516.Google ScholarGoogle ScholarCross RefCross Ref
  7. Cher, C. Y. and Kursun, E. 2011. Exploring the effects of on-chip thermal variation on high-performance multi-core architectures. ACM Trans. Archit. Code Optimiz. 8, 1. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Colgan, E. G., Furman, B., Gaynes, M., Graham, W. S., La Biance, N. C., Magerlein, J. H., Polastre, R. J., Rothwell, M. B., Bezama, R. J., Choudhary, R., Marston, K. C., Toy, H., Wakil, J., Zitz, J. A., and Schmidt, R. R. 2007. A practical implementation of silicon microchannel coolers for high-power chips. IEEE Trans. Compon. Packag. Technol. 30, 2.Google ScholarGoogle ScholarCross RefCross Ref
  9. Cong, J., Wei, J., and Zhang, Y. 2004. A thermal-driven floorplanning algorithm for 3D ICs. In Proceedings of the IEEE International Conference on Computer-Aided Design. 306--313. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Coskun, A. K., Ayala, J., Atienza, D., Rosing, T. S., and Leblebici, Y. 2009a. Dynamic thermal management in 3D multi-core architectures. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'09). Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Coskun, A. K., Kahng, A., and Rosing, T. S. 2009b. Temperature and cost-aware design of 3D multiprocessor architectures. In Proceedings of the Euromicro Conference on Digital System Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Emma, P. and Kursun, E. 2008. Is 3D integration the next growth engine after Moore's law, or is it different? IBM J. Res. Devel. 52, 6, 541--552. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Floyd, M., Ghiasi, S., Keller, T., Rajamani, K., Rawson, F., Rubio, J., and Ware, M. 2007. System power management support in the IBM power6 microprocessor. IBM J. Res. Devel. 51, 6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Goplen, B. and Sapatnekar, S. 2005. Thermal via placement for 3D ICs. In Proceedings of the ACM International Symposium on Physical Design (ISPD'05). 167--174. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Goplen, B. and Sapatnekar, S. 2003. Efficient thermal placement of standard cells in 3D ICs using force directed approach. In Proceedings of the IEEE International Conference on Computer-Aided Design. 86. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Guarini, K. W., Topol, A. W., Ieong, M., Yu, R., Shi, L., Newport, M. R., Frank, D. J., Singh, D. V., Cohen, G. M., Nitta, S. V., Boyd, D. C., O'Neil, P. A., Tempest, S. L., and Hensch, W. E. 2002. Electrical integrity of state-of-the-art 0.13um SOI CMOS devices and circuits transferred for three-dimensional integrated circuit fabrication. In Proceedings of the International Electronic Devices Meeting. 943--945.Google ScholarGoogle Scholar
  17. Hung, W.-L., Link, G. M., Xie, Y., Vijaykrishnan, N., and Irwin, M. J. 2006. Interconnect and thermal-aware floorplanning for 3D microprocessors. In Proceedings of the IEEE International Conference on Quality-Aware Design. 98--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Jain, A., Alam, S., Pozder, S., and Jones, R. E. 2009. Thermal-Electrical co-optimization of block-level floorplanning in 3D integrated circuits. In Proceedings of the EEE/ASME InterPack Conference.Google ScholarGoogle Scholar
  19. Jain, A., Jones, R. E., Chatterjee, R., Pozder, S., and Huang, Z. 2008. Thermal modeling and design of 3D integrated circuits. In Proceedings of the IEEE Inter-Societal Conference on Thermal and Thermomechanical Phenomena. 1138--1145.Google ScholarGoogle Scholar
  20. Kang, U., Chung, H.-J., Heo, S., Ahn, S.-H., Lee, H., Cha, S. H., Ahn, J., Kwon, D., Jim, J. H., Lee, J. W., Joo, H. S., Kim, W. S., Kim, H. K., Lee, E. M., Kim, S. R., Ma, K. H., Jang, D. H., Kim, N. S., Choi, M. S., Oh, S. J., Lee, J. B., Jung, T. K., Yoo, J. H., and Kim, C. 2009. 8Gb 3D ddr3 dram using through-silicon-via technology. In Proceedings of the IEEE International Solid-State Circuits Conference. 129--132.Google ScholarGoogle Scholar
  21. Knickerbocker, J. U., Patel, C. S., Andry, P. S., Tsang, C. K., Buchwalter, L. P., Sprogis, D., Gan, H., Horton, R. R., Polastre, R., Wright, S. L., Schuster, C., Baks, C., Doany, F., Rosner, J., and Cordes, S. 2005. Three-Dimensional silicon integration using fine pitch interconnection, silicon processing and silicon carrier packaging technology. In Proceedings of the IEEE Custom Integrated Circuits Conference. 659--662.Google ScholarGoogle Scholar
  22. Kursun, E., Cher, C. Y., Buyuktosunoglu, A., and Bose, P. 2006. Investigating the effects of task scheduling on thermal behavior. In IEEE International Symposium on Computer Architecture and Temperature-Aware Computer Systems.Google ScholarGoogle Scholar
  23. Lee, Y.-J., Kim, Y. J., Huang, G., Bakir, M., Joshi, Y., Fedorov, A., and Kim, S. K. 2009. Co-Design of signal, power, and thermal distribution networks for 3D ICs. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'09). Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Ma, Y., Liu, Y., Kursun, E., Reinman, G., and Cong, J. 2008. Investigating the effects of fine-grain three-dimensional integration on microarchitecture design. ACM J. Emerg. Technol. Comput. Syst. 4, 4, 1--30. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Patel, C., Tsang, C., Schuster, C., Doany, F. E., Nyikal, H., Baks, C. W., Budd, R., Buchwalter, L. P., Andry, P. S., Canaperi, D. F., Edelstein, D. C., Horton, R., Knickerbocker, J. U., Krywanczyk, T., Kwark, Y. H., Kwietniak, K. T., Magerlein, J. H., Rosner, J., and Sprogis, E. 2005. Silicon carrier with deep through-vias, fine pitch wiring and through cavity for parallel optical transceiver. In Proceedings of the IEEE Electronic Components and Technology Conference. 1318--1324.Google ScholarGoogle Scholar
  26. Puttaswamy, K. and Loh, G. 2007. Thermal herding: Microarchitectural techniques for controlling hotspots in high-performance 3D-integrated processors. In Proceedings of the IEEE Symposium on High-Performance Computer Architecture (HPCA'07). Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Puttaswamy, K. and Loh, G. 2006. Thermal analysis of 3D die-stacked high-performance microprocessor. In Proceedings of the ACM Great Lakes Symposium. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Puttaswamy, K. and Loh, G. 2005. Implementing caches in a 3D technology for high performance processors. In Proceedings of the IEEE International Conference on Computer Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Reif, R., Fan, A., Kuan-Neng, C., and Das, S. 2002. Fabrication technologies for three-dimensional integrated circuits. In Proceedings of the IEEE International Symposium on Quality-Aware Electronic Design. 33--37. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Saito, H., Nakajima, M., Okamoto, T., Yamada, Y., Ohuchi, A., Iguchi, N., Sakamoto, T., Yamaguchi, K., and Mizuno, M. 2009. A chip-stacked memory for on-chip SRAM-Rick SoCs and processors. In Proceedings of the IEEE International Solid-State Circuits Conference. 59--62.Google ScholarGoogle Scholar
  31. Skadron, K., Stan, M., Huang, W., Velusamy, S., Sankaranarayanan, K., and Tarjan, D. 2003. Temperature-Aware microarchitecture. In Proceedings of the International Symposium on Computer Architecture. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Sridhar, A., Vincenzi, A., Ruggerio, M., Atienza, D., and Brunschwiler, T. 2010. 3D ICE: Fast compact transient thermal modeling for 3D-ICs with inter-tier cooling. In Proceedings of the International Conference on Computer-Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Suntharalingam, V., Berger, R., Clark, S., Kneet, J., Messier, A., Newcomb, K., Rathman, D., Slattery, R., Soaers, A., Stevenson, C., Warner, K., Young, D., Ang, L. P., Mansoorian, B., and Shaver, D. 2009. A 4-side tileable back illuminated 3D-integrated mpixel cmos image sensor. In Proceedings of the IEEE International Solid-State Circuits Conference. 37--39.Google ScholarGoogle Scholar
  34. Swinnen, N., Ruythooren, W., De Moor, P., Bogaerts, L., Varbonell, L., De Munck, K., Eyckens, B., Stoukatch, S., Sabuncuoglu Tezcan, Tokei, Z., Vaes, J., and van Aelst, J. 2006. 3D integration by Cu-Cu thermo-compression bonding of extremely thinned bulk-Si die containing 10um pitch through-Si-vias. In Proceedings of the IEEE International Electronic Devices Meeting. 1--4.Google ScholarGoogle Scholar
  35. Topol, A. W.. La Tulipe Jr., D. C., Shi, L., Frank, D. J., Bernstein, K., Steen, S. E., Kumar, A., Singco, G. U., Young, A. M., Guarini, K. W., and Ieong, M. 2006. Three-Dimensional integrated circuits. IBM J. Res. Devel. 50, 4-5, 491--506. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Tsai, Y. F., Xie, Y., Vijaykrishnan, N., and Irwin, M. J. 2005. Three-Dimensional cache design using 3D CACTI. In Proceedings of the IEEE International Conference on Computer Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Xie, Y. 2010. Processor architecture design using 3D integration technology. In Proceedings of the IEEE VLSI Design Symposium. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Xie, Y., Loh, G., Black, B., and Bernstein, K. 2006. Design space exploration for 3D architecture. ACM J. Emerg. Technol. Comput. Syst. 2, 2. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Zhang, W. and Li, T. 2009. Exploring phase change memory and 3D die-stacking for power/thermal friendly, fast and durable memory architectures. In Proceedings of the IEEE International Conference on Parallel Architectures and Compilation Techniques. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Zhou, X., Xu, Y., Du, Y., Zhang, Y., and Yang, J. 2008. Thermal management for 3D processors via task scheduling. In Proceedings of the IEEE International Conference on Parallel Processing. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Zhu, C., Gu, Z., Shang, L., Dick, R. P., and Joseph, R. 2008. Three-Dimensional chip-multiprocessor runtime thermal management. IEEE Trans. Comput. Aid. Des. Integr. Circ. Syst. 27, 8. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Spatial and temporal thermal characterization of stacked multicore architectures

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Journal on Emerging Technologies in Computing Systems
        ACM Journal on Emerging Technologies in Computing Systems  Volume 8, Issue 3
        August 2012
        214 pages
        ISSN:1550-4832
        EISSN:1550-4840
        DOI:10.1145/2287696
        Issue’s Table of Contents

        Copyright © 2012 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 15 August 2012
        • Received: 1 November 2011
        • Accepted: 1 October 2011
        • Revised: 1 August 2011
        Published in jetc Volume 8, Issue 3

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader