skip to main content
10.1145/2304576.2304595acmconferencesArticle/Chapter ViewAbstractPublication PagesicsConference Proceedingsconference-collections
research-article

Channel borrowing: an energy-efficient nanophotonic crossbar architecture with light-weight arbitration

Authors Info & Claims
Published:25 June 2012Publication History

ABSTRACT

The emerging on-chip optical interconnection has become a promising candidate for future network design because of its advantages in high bandwidth density, low propagation delay and dynamic power consumption. However, a key challenge of on-chip optics is the high static power consumption which dominates the total network power. Hence, it is imperative to design an energy-efficient optical network architecture with high throughput while consuming low static power. In conventional optical crossbars, static channel allocation results in low channel utilization and network throughput, while full channel sharing requires a significant number of microrings, which incurs high static power.

To obtain high network throughput with low power consumption, this paper proposes a nanophotonic crossbar architecture with light-weight distributed arbitration. Network channels are allocated to an owner node, but can also be used by a few other nodes during idle time. The number of microring resonators is greatly reduced compared to the full channel sharing architecture. The arbitration is also simplified due to the small number of nodes sharing a channel. Every node can use the statically assigned channel to avoid starvation and borrow an additional idle channel to improve the utilization of the network. We intelligently select the network nodes that should share a channel to increase the chance of successful borrowing with low probability of conflict. The energy efficiency of the proposed network architecture is evaluated in terms of energy efficiency (throughput/watt) and Energy-delay2(ED2) using synthetic traces and traffic traces from PARSEC benchmarks. The simulation results show that our design can improve energy efficiency by 34% and 26% and improve ED^2 by 73% and 45% compared to Single-write-multi-read (SWMR) crossbars and Multi-write-multi-read (MWMR) crossbars respectively.

References

  1. J. Ahn et al. Devices and architectures for photonic chip-scale integration. Appl. Phy. A, pages 989--997, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  2. J. Balfour and W. J. Dally. Design tradeoffs for tiled cmp onchip networks. In ICS, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. C. Batten. Designing nanophotonic interconnection networks. In Workshop on the Interaction between Nanophotonic Devices and Systems, 2010.Google ScholarGoogle Scholar
  4. C. Batten et al. Building manycore processor-to-dram networks with monolithic silicon photonics. In Hot Interconnects, pages 21--30, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. S. Beamer et al. Re-architecting dram memory systems with monolithically integrated silicon photonics. In ISCA, pages 129--140, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. C. Bienia et al. The parsec benchmark suite: Characterization and architectural implications. In PACT, pages 72--81, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. D. Chiarulli et al. Multiprocessor interconnection networks using partitioned passive star topologies and distributed control. In Int'l Workshop on MPPOI, 1994.Google ScholarGoogle Scholar
  8. Cianchetti et al. Phastlane: a rapid transit optical routing network. In ISCA, pages 441--450, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. ITRS. International technology roadmap for semiconductors. Technical report, 2010.Google ScholarGoogle Scholar
  10. A. Joshi et al. Silicon-photonic clos networks for global on-chip communication. In 3rd NOCS, pages 124 --133, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. Kim et al. Flattened butterfly topology for on-chip networks. In MICRO, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. N. Kirman et al. Leveraging optical technology in future bus-based chip multiprocessors. In MICRO, pages 492--503, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. N. Kirman and J. F. Martínez. A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing. In ASPLOS, pages 15--28, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. P. Koka et al. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems. SIGARCH Comput. Archit. News, 38, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. G. Kurian et al. Atac: a 1000-core cache-coherent processor with on-chip optical network. In PACT, pages 477--488, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. C. Nitta et al. Addressing system-level trimming issues in on-chip nanophotonic networks. In HPCA, pages 122--131, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. C. Nitta et al. Resilient microring resonator based photonic networks. In MICRO, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. M. Palesi et al. Noxim, an open network-on-chip simulator. http://noxim.sourceforge.net.Google ScholarGoogle Scholar
  19. Y. Pan et al. Firefly: Illuminating future network-on-chip with nanophotonics. In ISCA, pages 429--440, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Y. Pan et al. Flexishare: Channel sharing for an energy-efficient nanophotonic crossbar. In HPCA, pages 1--12, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  21. A. Shacham et al. Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput., 57:1246--1260, September 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. D. Vantrease et al. Corona: System implications of emerging nanophotonic technology. In ISCA, pages 153--164, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. D. Vantrease et al. Light speed arbitration and flow control for nanophotonic interconnects. In MICRO, pages 304--315, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. D. Vantrease et al. Atomic coherence: Leveraging nanophotonics to build race-free cache coherence protocols. In HPCA, pages 132 --143, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Y. Xu et al. A composite and scalable cache coherence protocol for large scale cmps. In ICS, pages 285--294, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Y. Xu et al. Tolerating process variations in nanophotonic on-chip networks. In ISCA, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. J. Xue et al. An intra-chip free-space optical interconnect. In ISCA, pages 94--105, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. M. T. Yourst. Ptlsim: A cycle accurate full system x86--64 microarchitectural simulator. In ISPASS, pages 23--24, 2007.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Channel borrowing: an energy-efficient nanophotonic crossbar architecture with light-weight arbitration

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ICS '12: Proceedings of the 26th ACM international conference on Supercomputing
        June 2012
        400 pages
        ISBN:9781450313162
        DOI:10.1145/2304576

        Copyright © 2012 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 25 June 2012

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate584of2,055submissions,28%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader