ABSTRACT
Low-power EDA has come a long way in from its infancy almost 20 years ago, when the first low power tools for logic synthesis were introduced. Currently a large number of power-aware tools provide a spectrum of capabilities to address power issues, from analysis of power consumption and IR drops to automated power reduction during chip implementation. The most recent development is the advent of standards to specify power intent along with RTL which resulted in a number of new tools to help users implement and verify their power intent.
This paper takes a look at the different techniques that are commercially available to analyze, optimize, and manage the power consumption of modern day chips. The purpose is not to evaluate the different tools, but to provide an overview of the capabilities available commercially. Since it is impossible to do justice to all the tools that provide low power capabilities in this short space, I focus on a few representative tools. The scope is limited to tools for digital design starting from RTL.
- 1801-2009 - IEEE Standard for Design and Verification of Low Power Integrated Circuits, March 2009, DOI=10.1109/IEEESTD.2009.4809845, http://ieeexplore.ieee.org/servlet/opac?punumber=4809843Google Scholar
- Apache Design Inc, (Ansys) Redhawk http://www.apache-da.com/products/redhawkGoogle Scholar
- Apache Design Inc, (Ansys) PowerArtist http://www.apache-da.com/products/powerartistGoogle Scholar
- Atrenta Inc., Spyglass, http://www.atrenta.com/solutions/spyglass-family/spyglass-power.htmGoogle Scholar
- Calypto Design Systems, Inc. PowerProCG http://www.calypto.com/powerprocg.phpGoogle Scholar
- Cadence Design Systems, Inc., Conformal LP, http://www.cadence.com/products/fv/Pages/lp_flow.aspxGoogle Scholar
- Magma Design Automation, (Synopsys, Inc.) http://www.magma-da.com/products-solutions/digitalimplementation/TalusPowerPro.aspxGoogle Scholar
- Mentor Graphics, Questa Power Simulator, http://www.mentor.com/products/fv/questa-power-aware-simulator/Google Scholar
- Mentor Graphics, Test Products, http://www.mentor.com/products/silicon-yield/Google Scholar
- Synopsys Inc., IC Compiler, http://www.synopsys.com/Tools/Implementation/PhysicalImplementation/Pages/ICCompiler.aspxGoogle Scholar
- Synopsys Inc., Formality http://www.synopsys.com/Tools/Verification/FormalEquivalence/Pages/default.aspxGoogle Scholar
- Synopsys Inc., Low Power Verification, http://www.synopsys.com/Tools/Verification/LowPowerVerification/Pages/default.aspxGoogle Scholar
- Synopsys, Inc., Power Compiler, http://www.synopsys.com/Tools/Implementation/RTLSynthesis/Pages/PowerCompiler.aspxGoogle Scholar
- Synopsys Inc., PrimeRail, PrimeTime http://www.synopsys.com/Tools/Implementation/SignOff/Pages/default.aspxGoogle Scholar
- Synopsys, Inc., Test Automation, http://www.synopsys.com/Tools/Implementation/RTLSynthesis/Test/Pages/default.aspxGoogle Scholar
Index Terms
- Commercial low-power EDA tools: a review
Recommendations
Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems
While dynamic power consumption has traditionally been the primary source of power consumption, leakage power is becoming an increasingly important concern as technology feature size continues to shrink. Previous system-level approaches focus on ...
Low voltage and low power: how low can you go?
ICCD '96: Proceedings of the 1996 International Conference on Computer Design, VLSI in Computers and ProcessorsPower management is an important design issue. For mobile electronics, the motivation is to extend battery life. For desktop systems, the design goal is to reduce audible noise from cooling fans which are a cause of annoyance, and to reduce the heat ...
Power-Management Specification in SystemC
DDECS '15: Proceedings of the 2015 IEEE 18th International Symposium on Design and Diagnostics of Electronic Circuits & SystemsPower consumption is the greatest concern in current highly-integrated hardware-system design. The power reduction is targeted mostly through power management, implementing such techniques as clock gating, power gating, or voltage and frequency scaling. ...
Comments