skip to main content
10.1145/2333660.2333746acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Evaluation of voltage stacking for near-threshold multicore computing

Published:30 July 2012Publication History

ABSTRACT

This paper evaluates voltage stacking in the context of near-threshold multicore computing. Key attributes of voltage stacking are investigated using results from a test-chip prototype built in 150nm FDSOI CMOS. By "stacking" logic blocks on top of each other, voltage stacking reduces the chip current draw and simplifies off-chip power delivery but within-die voltage noise due to inter-layer current mismatch is an issue. Results show that unlike conventional power delivery schemes, supply rail impedance in voltage stacked systems depend on aggregate power consumption, leading to better noise immunity for high power (low impedance) operation for many-core processors.

References

  1. E. Alon and M. Horowitz. Integrated regulation for energy-efficient digital circuits. IEEE J. Solid-State Circuits, 43(8):1795--1807, August 2008.Google ScholarGoogle ScholarCross RefCross Ref
  2. L. Chang, R. K. Montoye, B. L. Ji, A. J. Weger, K. G. Stawiasz, and R. H. Dennard. A fully-integrated switched-capacitor 2:1 voltage converter with regulation capability and 90% efficiency at 2.3A/mm2. IEEE Symp. VLSI Circuits, pages 55--56, June 2010.Google ScholarGoogle Scholar
  3. R. G. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, and T. Mudge. Near-threshold computing: reclaiming Moore's law through energy efficient integrated circuits. Proceedings of the IEEE, 98(2):253--266, February 2010.Google ScholarGoogle ScholarCross RefCross Ref
  4. H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, and D. Burger. Dark silicon and the end of multicore scaling. Proc. 38th Int'l Symp. Computer Architecture, ACM Press, pages 365--376, June 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. W. Kim, D. Brooks, and G.-Y. Wei. A fully-integrated 3-level dc/dc converter for nanosecond-scale dvs with fast shunt regulation. IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pages 268--270, February 2011.Google ScholarGoogle ScholarCross RefCross Ref
  6. M. Nagata, T. Okumoto, and K. Taki. A built-in technique for probing power supply and ground noise distribution within large-scale digital integrated circuits. IEEE J. Solid-State Circuits, 40(4):813--819, April 2005.Google ScholarGoogle ScholarCross RefCross Ref
  7. L. S. Nielsen, C. Niessen, J. Sparso, and K. van Berkel. Low-power operation using self-timed circuits and adaptive scaling of the supply voltage. IEEE Transactions on VLSI Systems, 2(4):391--397, 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S. Rajapandian, K. L. Shepard, P. Hazucha, and T. Karnik. High-voltage power delivery through charge recycling. IEEE J. Solid-State Circuits, 41(6):1400--1410, June 2006.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Evaluation of voltage stacking for near-threshold multicore computing

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '12: Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
      July 2012
      438 pages
      ISBN:9781450312493
      DOI:10.1145/2333660

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 30 July 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader