skip to main content
short-paper
Free Access

Centip3De: a many-core prototype exploring 3D integration and near-threshold computing

Authors Info & Claims
Published:01 November 2013Publication History
Skip Abstract Section

Abstract

Process scaling has resulted in an exponential increase of the number of transistors available to designers. Meanwhile, global interconnect has not scaled nearly as well, because global wires scale only in one dimension instead of two, resulting in fewer, high-resistance routing tracks. This paper evaluates the use of three-dimensional (3D) integration to reduce global interconnect by adding multiple layers of silicon with vertical connections between them using through-silicon vias (TSVs). Because global interconnect can be millimeters long, and silicon layers tend to be only tens of microns thick in 3D stacked processes, the power and performance gains by using vertical interconnect can be substantial. To address the thermal issues that arise with 3D integration, this paper also evaluates the use of near-threshold computing---operating the system at a supply voltage just above the threshold voltage of the transistors.

Specifically, we will discuss the design and test of Centip3De, a large-scale 3D-stacked near-threshold chip multiprocessor. Centip3De uses Tezzaron's 3D stacking technology in conjunction with Global Foundries' 130 nm process. The Centip3De design comprises 128 ARM Cortex-M3 cores and 256MB of integrated DRAM. Silicon measurements are presented for a 64-core version of the design.<!-- END_PAGE_1 -->

References

  1. ARM Cortex-A9. http://www.arm.com/products/processors/cortex-a/cortex-a9.php.Google ScholarGoogle Scholar
  2. ARM Cortex-M3. http://www.arm.com/products/CPUs/ARM_Cortex-M3.html.Google ScholarGoogle Scholar
  3. Dennard, R., Gaensslen, F., Rideout, V., Bassous, E., LeBlanc, A. Design of ion-implanted mosfet's with very small physical dimensions. IEEE J. Solid State Circ. 9, 5 (Oct. 1974), 256--268,.Google ScholarGoogle ScholarCross RefCross Ref
  4. Dreslinkski, R.G., Zhai, B., Mudge, T., Blaauw, D., Sylvester, D. An energy efficient parallel architecture using near threshold operation. In Proceedings of the Parallel Architecture and Compilation Techniques (2007). Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Fick, D., Dreslinski, R., Giridhar, B., Kim, G., Seo, S., Fojtik, M., Satpathy, S., Lee, Y., Kim, D., Liu, N., et al. Centip3de: A 3930 dmips/w configurable near-threshold 3d stacked system with 64 arm cortex-m3 cores. In ISSCC 2012 (2012).Google ScholarGoogle Scholar
  6. Hanson, S., et al. Ultralow-voltage, minimum-energy CMOS. IBM J. Res. Dev. 50, 4/5 (Jul/Sep 2006), 469--490. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Kim, T.H., Persaud, R., Kim, C. Silicon odometer: an on-chip reliability monitor for measuring frequency degradation of digital circuits. IEEE J. Solid State Circ. 43, 4 (Apr. 2008), 874--880.Google ScholarGoogle ScholarCross RefCross Ref
  8. Knickerbocker, J.U., et al. Three-dimensional silicon integration. IBM J. Res. Dev. 52, 6 (Nov. 2008), 553--569. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Loh, G. 3d-stacked memory architectures for multi-core processors. In ACM SIGARCH Computer Architecture News (2008), volume 36. IEEE Computer Society, 453--464. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Qazi, M., Stawiasz, K., Chang, L., Chandrakasan, A. A 512 kb 8t sram macro operating down to 0.57 &mu;v with an ac-coupled sense amplifier and embedded data-retention-voltage sensor in 45 nm soi cmos. IEEE J. Solid State Circ. 46, 1 (Jan. 2011), 85--96.Google ScholarGoogle ScholarCross RefCross Ref
  11. Rusu, S., et al. A 45 nm 8-Core Enterprise Xeon Processor. In Proceedings of ISSCC (Feb. 2009).Google ScholarGoogle Scholar
  12. Soeleman, H., Roy, K. Ultra-low power digital subthreshold logic circuits. In Proceedings of the 1999 International Symposium on Low Power Electronics and Design (1999), 94--96. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Swanson, R., Meindl, J. Ion-implanted complementary mos transistors in low-voltage circuits. IEEE J. Solid State Circ. 7, 2 (Apr. 1972), 146--153.Google ScholarGoogle ScholarCross RefCross Ref
  14. Tezzaron Semiconductor FaStack® Technology. http://tezzaron.com/technology/FaStack.htm.Google ScholarGoogle Scholar
  15. Tezzaron Semiconductor Octopus DRAM. http://www.tezzaron.com/memory/Octopus.html.Google ScholarGoogle Scholar
  16. Vittoz, E., Fellrath, J. Cmos analog integrated circuits based on weak inversion operations. IEEE J. Solid State Circ. 12, 3 (Jun. 1977), 224--231.Google ScholarGoogle ScholarCross RefCross Ref
  17. Wang, A., Chandrakasan, A. A 180 mv fft processor using subthreshold circuit techniques. In IEEE International Solid-State Circuits Conference 2004, ISSCC 2004. Digest of Technical Papers (15--19 Feb. 2004), volume 1, 292--529,.Google ScholarGoogle Scholar
  18. Zhai, B., Blaauw, D., Sylvester, D., Hanson, S. A sub-200 mv 6t sram in 0.13 &mu;m cmos. In IEEE International Solid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical Papers (Feb. 2007), 332--606.Google ScholarGoogle Scholar
  19. Zhai, B., Nazhandali, L., Olson, J., Reeves, A., Minuth, M., Helfand, R., Pant, S., Blaauw, D., Austin, T. A 2.60pj/inst subthreshold sensor processor for optimal energy efficiency. In IEEE VLSI Technology and Circuits (2006).Google ScholarGoogle Scholar

Index Terms

  1. Centip3De: a many-core prototype exploring 3D integration and near-threshold computing

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in

            Full Access

            • Published in

              cover image Communications of the ACM
              Communications of the ACM  Volume 56, Issue 11
              November 2013
              97 pages
              ISSN:0001-0782
              EISSN:1557-7317
              DOI:10.1145/2524713
              Issue’s Table of Contents

              Copyright © 2013 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 1 November 2013

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • short-paper
              • Research
              • Refereed

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader

            HTML Format

            View this article in HTML Format .

            View HTML Format