skip to main content
research-article

Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip

Published:06 May 2014Publication History
Skip Abstract Section

Abstract

Traditional metal-wire-based networks-on-chip (NoC) suffer from high latency and power dissipation as the system size scales up in the number of cores. This limitation stems from the inherent multihop communication nature of larger NoCs. It has previously been shown that the performance of NoCs can be significantly improved by introducing long-range, low power, and high-bandwidth single-hop links between distant cores. While previous work has focused on specific NoC architectures and configurations, it remains an open question whether heterogeneous link types are beneficial in a broad range of NoC architectures. In this article, we show that a generic NoC architecture with heterogeneous link types allows for NoCs with higher bandwidth at a lower cost compared to homogeneous networks. We further show that such NoCs scale up significantly better in terms of performance and cost. We demonstrate these broadly-applicable results by using a technology-agnostic complex network approach that targets NoC architectures with various emerging link types.

References

  1. L. Benini and G. De Micheli. 2002. Networks on chips: A new SoC paradigm. Computer 35, 1, 70--78. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. N. Binkert, B. Beckmann, G. Black, S. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. Hill, and D. Wood. 2011. The GEM5 simulator. SIGARCH Comput. Archit. News 39, 2, 1--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Brière, B. Girodias, Y. Bouchebaba, G. Nicolescu, F. Mieyeville, F. Gaffiot, and I. O'Connor. 2007. System level assessment of an optical NoC in an MPSoC platform. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'07). 1084--1089. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S. Cahon, N. Melab, and E.-G. Talbi. 2004. ParadisEO: A framework for the reusable design of parallel and distributed metaheuristics. J. Heuristics 10, 3, 357--380. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M. Chang, J. Cong, A. Kaplan, M. Naik, G. Reinman, E. Socher, and S. Tam. 2008a. CMP network-on-chip overlaid with multi-band RF-interconnect. In Proceedings of the IEEE 14th International Symposium on High Performance Computer Architecture (HPCA). 191--202.Google ScholarGoogle Scholar
  6. M. Chang, E. Socher, Sai-Wang Tam, J. Cong, and G. Reinman. 2008b. RF interconnects for communications on-chip. In Proceedings of the International Symposium on Physical Design (ISPD'08). 78--83. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. Clauset, M. Newman, and C. Moore. 2004. Finding community structure in very large networks. Phys. Rev. E 70, 066111.Google ScholarGoogle ScholarCross RefCross Ref
  8. H. Dai. 2002. Carbon nanotubes: Synthesis, integration, and properties. Accounts Chem. Res. 35, 12, 1035--1044.Google ScholarGoogle ScholarCross RefCross Ref
  9. S. Deb, K. Chang, A. Ganguly, and P. Pande. 2010a. Comparative performance evaluation of wireless and optical NoC architectures. In Proceedings of the IEEE International SOC Conference (SOCC). 487--492.Google ScholarGoogle Scholar
  10. S. Deb, A. Ganguly, K. Chang, P. Pande, B. Beizer, and D. Heo. 2010b. Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects. In Proceedings of the 21st IEEE International Conference on Application-Specific Systems Architectures and Processors (ASAP). 73--80.Google ScholarGoogle Scholar
  11. K. DeJong. 2002. Evolutionary Computation 1st ed. The MIT Press, Cambridge, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. E. Elbeltagi, T. Hegazy, and D. Grierson. 2005. Comparison among five evolutionary-based optimization algorithms. Adv. Eng. Inform. 19, 1, 43--53. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. P. Erdos and A. Rényi. 1960. On the evolution of random graphs. In Publications of the Mathematical Institute of the Hungarian Academy of Sciences, Vol. 5A, 17--61.Google ScholarGoogle Scholar
  14. B. Floyd, C. Hung, and K. O. 2002. Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters. IEEE J. Solid-State Circuits 37, 5, 543--552.Google ScholarGoogle ScholarCross RefCross Ref
  15. M. Fulgham and L. Snyder. 1993. Performance of chaos and oblivious routers under non-uniform traffic. Tech. rep. Univ. of Washington. July.Google ScholarGoogle Scholar
  16. A. Ganguly, K. Chang, S. Deb, P. Pande, B. Belzer, and C. Teuscher. 2011. Scalable hybrid wireless network-on-chip architectures for multicore systems. IEEE Trans. Comput. 60, 10, 1485--1502. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. A. Joshi, C. Batten, Yong-Jin Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic. 2009. Silicon-photonic clos networks for global on-chip communication. In Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chip NoCS. 124--133. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. K. Kempa, J. Rybczynski, Z. Huang, K. Gregorczyk, A. Vidan, B. Kimball, J. Carlson, G. Benham, Y. Wang, A. Herczynski, and Z. Ren. 2007. Carbon nanotubes as optical antennae. Adv. Mater. 19, 3, 421--426.Google ScholarGoogle ScholarCross RefCross Ref
  19. T. Krishna, A. Kumar, P. Chiang, M. Erez, and L. Peh. 2008. NoC with near-ideal express virtual channels using global-line communication. In Proceedings of the 16th IEEE Symposium on High Performance Interconnects. IEEE Computer Society, 11--20. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. A. Kumar, Li-Shiuan Peh, P. Kundu, and N. Jha. 2008. Toward ideal on-chip communication using express virtual channels. IEEE Micro 28, 1, 80--90. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. S. Kumar, A. Jantsch, J. Soininen, M. Forsell, M. Millberg, J. Oberg, K. Tiensyrja, and A. Hemani. 2002. A network on chip architecture and design methodology. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. 105--112. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Z. Li, D. Fay, A. Mickelson, L. Shang, M. Vachharajani, D. Filipovic, W. Park, and Y. Sun. 2009. Spectrum: A hybrid nanophotonic-electric on-chip network. In Proceedings of the 46th ACM/IEEE Design Automation Conference (DAC'09). 575--580. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. J. Lienig and K. Thulasiraman. 1993. A genetic algorithm for channel routing in VLSI circuits. Evol. Comput. 1, 4, 293--311. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. R. Lu, A. Cao, and C. Koh. 2007. SAMBA-Bus: A high performance bus architecture for system-on-chips. IEEE Trans. VLSI Syst. 15, 1, 69--79. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. E. Mensink, D. Schinkel, E. Klumperink, E. van Tuijl, and B. Nauta. 2007. A 0.28pj/b 2gb/s/ch transceiver in 90nm CMOS for 10mm on-chip interconnects. In Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). 414--612.Google ScholarGoogle Scholar
  26. Z. Michalewicz. 1996. Genetic Algorithms + Data Structures = Evolution Programs. Springer-Verlag, London. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. M. Newman. 2003. The structure and function of complex networks. SIAM Rev. 45, 2, 167--256.Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. I. O'Connor, F. Tissafi-Drissi, F. Gaffiot, J. Dambre, M. De Wilde, J. Van Campenhout, D. Van Thourhout, and D. Stroobandt. 2007. Systematic simulation-based predictive synthesis of integrated optical interconnect. IEEE Trans. VLSI Syst. 15, 8, 927--940. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. U. Ogras, J. Hu, and R. Marculescu. 2005. Key research problems in NoC design: A holistic perspective. In Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. 69--74. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. U. Ogras and R. Marculescu. 2006. “It's a small world after all”: NoC performance optimization via long-range link insertion. IEEE Trans. VLSI Syst. 14, 7, 693--706. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. P. Pande, C. Grecu, M. Jones, A. Ivanov, and R. Saleh. 2005. Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans. Comput. 54, 8, 1025--1040. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. K. Preston, N. Sherwood-Droz, J. Levy, and M. Lipson. 2011. Performance guidelines for WDM interconnects based on silicon microring resonators. In Proceedings of the Conference on Lasers and Electro-Optics (CLEO). 1--2.Google ScholarGoogle Scholar
  33. M. Rubinov and O. Sporns. 2009. Complex network measures of brain connectivity: Uses and interpretations. NeuroImage 52, 3, 1059--1069.Google ScholarGoogle ScholarCross RefCross Ref
  34. A. Shacham, K. Bergman, and L. Carloni. 2008. Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57, 9, 1246--1260. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. C. Teuscher. 2007. Nature-inspired interconnects for self-assembled large-scale network-on-chip designs. Chaos Interdisciplinary J. Nonlinear Sci. 17, 2.Google ScholarGoogle Scholar
  36. M. van Steen. 2010. Graph Theory and Complex Networks: An Introduction. Maarten van Steen, Amsterdam, The Netherlands.Google ScholarGoogle Scholar
  37. D. Watts and S. Strogatz. 1998. Collective dynamics of ‘small-world’ networks. Nature 393, 6684, 440--442.Google ScholarGoogle Scholar
  38. S. C. Woo, M. Ohara, E. Torrie, J. Singh, and A. Gupta. 1995. The SPLASH-2 programs: Characterization and methodological considerations. SIGARCH Comput. Archit. News 23, 2, 24--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. D. Zhao and Y. Wang. 2008. SD-MAC: Design and synthesis of a hardware-efficient collision-free QoS-aware MAC protocol for wireless network-on-chip. IEEE Trans. Comput. 57, 9, 1230--1245. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. A. Zhou, Bo-Yang Qu, H. Li, Shi-Zheng Zhao, P. Suganthan, and Q. Zhang. 2011. Multiobjective evolutionary algorithms: A survey of the state of the art. Swarm Evol. Comput. 1, 1, 32--49.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 10, Issue 3
      April 2014
      196 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/2614448
      Issue’s Table of Contents

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 6 May 2014
      • Accepted: 1 March 2013
      • Revised: 1 September 2012
      • Received: 1 February 2012
      Published in jetc Volume 10, Issue 3

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader