skip to main content
10.1145/2593069.2593185acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Power management through DVFS and dynamic body biasing in FD-SOI circuits

Authors Info & Claims
Published:01 June 2014Publication History

ABSTRACT

The emerging SOI technologies provide an increased body bias range compared to traditional bulk technologies, opening new opportunities. From the power management perspective, a new degree of freedom is added to the supply voltage and clock frequency variation, increasing the complexity of the power optimization problem. In this paper, a method is proposed to manage the power consumed in an FD-SOI circuit through supply and body bias voltages, and clock frequency variation. Results for a Digital Signal Processor in STMicroelectronics 28nm FD-SOI technology show that the power reduction ratio can reach 17%.

References

  1. Y. Akgul, D. Puschini, S. Lesecq, E. Beigne, P. Benoit, and L. Torres. Methodology for power mode selection in fd-soi circuits with dvfs and dynamic body biasing. In Power and Timing Modeling, Optimization and Simulation (PATMOS), 2013.Google ScholarGoogle Scholar
  2. E. Beigne and P. Vivet. An innovative local adaptive voltage scaling architecture for on-chip variability compensation. In IEEE 9th Int. NEWCAS, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  3. B. Bereanu. A property of convex piecewise linear functions with applications to mathematical programming. Unternehmensforschung, 9(2):112--119, 1965.Google ScholarGoogle Scholar
  4. A. Bonnoit, S. Herbert, D. Marculescu, and L. Pileggi. Integrating dynamic voltage/frequency scaling and adaptive body biasing using test-time voltage selection. In 14th ACM/IEEE ISPLED, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. F. Firouzi, A. Yazdanbakhsh, H. Dorosti, and S. Fakhraie. Dynamic soft error hardening via joint body biasing and dynamic voltage scaling. In 14th Euromicro Conference on Digital System Design (DSD), 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. K. Ganesan, L. John, V. Salapura, and J. Sexton. A performance counter based workload characterization on blue gene/p. In 37th Int. Conf.on Parallel Processing (ICPP), 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. T. Ishihara and H. Yasuura. Voltage scheduling problem for dynamically variable voltage processors. In Int. Symp. on Low Power Electronics and Design, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C. Kim and K. Roy. Dynamic vth scaling scheme for active leakage power reduction. In Design, Automation and Test in Europe (DATE), 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. S. Lesecq, D. Puschini, E. Beigne, P. Vivet, and Y. Akgul. Low-cost and robust control of a dfll for multi-processor system-on-chip. In 18th Int. Federation of Automatic Control (IFAC), 2011.Google ScholarGoogle Scholar
  10. P. Magarshack, P. Flatresse, and G. Cesana. Utbb fd-soi: A process/design symbiosis for breakthrough energy-efficiency. In Design, Automation Test in Europe (DATE), 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. M. Nomura, Y. Ikenaga, K. Takeda, Y. Nakazawa, Y. Aimoto, and Y. Hagihara. Delay and power monitoring schemes for minimizing power consumption by means of supply and threshold voltage control in active and standby modes. IEEE Journal of Solid-State Circuits, 41(4):805--814, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  12. K. Nose and T. Sakurai. Optimization of VDD and VTH for low-power and high-speed applications. In Asia and South Pacific Design Automation Conf. (ASP-DAC), 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. R. Rao and S. Vrudlhula. Energy optimal speed control of devices with discrete speed sets. In 42nd Design Automation Conference (DAC), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. B. Rebaud, M. Belleville, E. Beigne, M. Robert, P. Maurine, and N. Azemard. On-chip timing slack monitoring. In 17th IFIP Int. Conf. on Very Large Scale Integration (VLSI-SoC), 2009.Google ScholarGoogle ScholarCross RefCross Ref
  15. J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chandrakasan, and V. De. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. In IEEE Int. Solid-State Circuits Conf. (ISSCC). Digest of Technical Papers, 2002.Google ScholarGoogle Scholar
  16. L. Vincent, P. Maurine, S. Lesecq, and E. Beigne. Embedding statistical tests for on-chip dynamic voltage and temperature monitoring. In 49th Design Automation Conference (DAC), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. R. Wilson, E. Beigné, P. Flatresse, A. Valentian, F. Abouzeid, T. Benoist, C. Bernard, S. Bernard, O. Billoint, S. Clerc, B. Giraud, A. Grover, J. Le Coz, I. Miro-Panades, J.-P. Noel, B. Pelloux-Prayer, P. Roche, O. Thomas, Y. Thonnart, D. Turgis, F. Clermidy, and P. Magarshack. A 460mhz at 397mv, 2.6ghz at 1.3v, 32b vliw dsp, embedding fmax tracking. In IEEE Int. Solid-State Circuits Conf. (ISSCC). Digest of Technical Papers, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  18. U. Yüceer. Discrete convexity: convexity for functions defined on discrete spaces. Discrete Applied Mathematics, 119:297--304, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  1. Power management through DVFS and dynamic body biasing in FD-SOI circuits

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Other conferences
      DAC '14: Proceedings of the 51st Annual Design Automation Conference
      June 2014
      1249 pages
      ISBN:9781450327305
      DOI:10.1145/2593069

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 1 June 2014

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed limited

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader