skip to main content
research-article

Prolonging Lifetime of PCM-Based Main Memories through On-Demand Page Pairing

Authors Info & Claims
Published:02 March 2015Publication History
Skip Abstract Section

Abstract

With current memory scalability challenges, Phase-Change Memory (PCM) is viewed as an attractive replacement to DRAM. The preliminary concern for PCM applicability is its limited write endurance that results in fast wear-out of memory cells. Worse, process variation in the deep-nanometer regime increases the variation in cell lifetime, resulting in an early and sudden reduction in main memory capacity due to the wear-out of a few cells. Recent studies have proposed redirection or correction schemes to alleviate this problem, but all suffer poor throughput or latency. In this article, we show that one of the inefficiency sources in current schemes, even when wear-leveling algorithms are used, is the nonuniform write endurance limit incurred by process variation, that is, when some memory pages have reached their endurance limit, other pages may be far from their limit. In this line, we present a technique that aims to displace a faulty page to a healthy page. This technique, called On-Demand Page Paired PCM (OD3P, for short), when applied at page level, can improve PCM time-to-failure by 20% on average for different multithreaded and multiprogrammed workloads while also improving IPC by 14% on average compared to previous page-level techniques. The comparison between line-level OD3P and previous line-level techniques reveals about 2× improvement of lifetime and performance.

References

  1. Mohammad Arjomand, Amin Jadidi, Ali Shafiee, and Hamid Sarbazi-Azad. 2011. A morphable phase change memory architecture considering frequent zero values. In Proceedings of the International Conference on Computer Design (ICCD'11). 373--380. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Marjan Asadinia, Mohammad Arjomand, and Hamid Sarbazi-Azad. 2014. OD3P: On-demand page paired PCM. In Proceedings of the Annual Design Automation Conference (DAC'14). 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Manu Awasthi, Manjunath Shevgoor, Kshitij Sudan, Bipin Rajendran, Rajeev Balasubramonian, and Viii Srinivasan. 2012. Efficient scrub mechanisms for error-prone emerging memories. In Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA'12). 1--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Rodolfo Azevedo, John D. Davis, Karin Strauss, Parikshit Gopalan, Mark Manasse, and Sergey Yekhanin. 2013. Zombie memory: Extending memory lifetime by reviving dead blocks. In Proceedings of the International Symposium on Computer Architecture (ISCA'13). 452--463. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Christian Bienia and Kai Li. 2009. PARSEC 2.0: A new benchmark suite for chip-multiprocessors. In Proceedings of the Annual Workshop on Modeling, Benchmarking and Simulation (MoBS'09).Google ScholarGoogle Scholar
  6. Jie Chen, Guru Venkataramani, and H. Howie Huang. 2012. RePRAM: Re-cycling PRAM faulty blocks for extended lifetime. In Proceedings of the International Conference on Dependable Systems and Networks (DSN'12). 1--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Sangyeun Cho and Hyunjin Lee. 2009. Flip-n-write: A simple deterministic technique to improve PRAM write performance, energy and endurance. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO'09). 347--357. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Jeremy Condit, Edmund B. Nightingale, Christopher Frost, Engin Ipek, Benjamin Lee, Doug Burger, and Derrick Coetzee. 2009. Better I/O through byte-addressable, persistent memory. In Proceedings of the ACM Symposium on Operating Systems Principles (SOSP'09). 133--146. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Xiangyu Dong and Yuan Xie. 2011. AdaMS: Adaptive MLC/SLC phase-change memory design for file storage. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'11). 31--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Laura M. Grupp, John D. Davis, and Steven Swanson. 2013. The Harey Tortoise: Managing heterogeneous write performance in SSDs. In Proceedings of the USENIX Conference on Annual Technical Conference (ATC'13). 133--146. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Andrew Hay, Karin Strauss, Timothy Sherwood, Gabriel H. Loh, and Doug Burger. 2011. Preventing PCM banks from seizing too much power. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO'11). 186--195. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Morteza Hosseinzadeh, Mohammad Arjomand, and Hamid Sarbazi-Azad. 2014. Reducing access latency of MLC PCMs through line striping. In Proceedings of the International Symposium on Computer Architecture (ISCA'14). 277--288. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Stephen Hudgens and Brian Johnson. 2004. Overview of phase-change chalcogenide nonvolatile memory technology. MRS Bull. 29, 829--832.Google ScholarGoogle ScholarCross RefCross Ref
  14. Daniele Ielmini, Simone Lavizzari, Deepak Sharma, and Andrea L. Lacaita. 2007. Physical interpretation, modeling and impact on phase change memory (PCM) reliability of resistance drift due to chalcogenide structural relaxation. In Proceedings of the International Electron Devices Meeting (IEDM'07). 939--942.Google ScholarGoogle Scholar
  15. Engin Ipek, Jeremy Condit, Edmund B. Nightingale, Doug Burger, and Thomas Moscibroda. 2010. Dynamically replicated memory: Building reliable systems from nanoscale resistive memories. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'10). 3--14. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. ITRS. 2011. Emerging research devices. http://www.itrs.net/.Google ScholarGoogle Scholar
  17. Majid Jalili, Mohammad Arjomand, and Hamid Sarbazi-Azad. 2014. A reliable 3D MLC PCM architecture with resistance drift predictor. In Proceedings of the International Conference on Dependable Systems and Networks (DSN'14). Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Lei Jiang, Yu Du, Youtao Zhang, Bruce R. Childers, and Jun Yang. 2011. LLS: Cooperative integration of wear-leveling and salvaging for PCM main memory. In Proceedings of the International Conference on Dependable Systems and Networks (DSN'11). 221--232. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Lei Jiang, Bo Zhao, Youtao Zhang, Jun Yang, and Bruce R. Childers. 2012. Improving write operations in MLC phase change memory. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA'12). 1--10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Madhura Joshi, Wangyuan Zhang, and Tao Li. 2011. Mercury: A fast and energy-efficient multi-level cell based phase change memory system. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA'11). 345--356. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Kim Kilbok. 2007. Main memory technology direction. In Proceedings of the Windows Hardware Engineering Conference (Win-Hec'07).Google ScholarGoogle Scholar
  22. Benjamin C. Lee, Engin Ipek, Onur Mutlu, and Doug Burger. 2009. Architecting phase change memory as a scalable DRAM alternative. In Proceedings of the International Symposium on Computer Architecture (ISCA'09). 2--13. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Peter S. Magnusson, Magnus Christensson, Jesper Eskilson, Daniel Forsgren, Gustav Hallberg, Johan Hogberg, Fredrik Larsson, Andreas Moestedt, and Bengt Werner. 2002. Simics: A full system simulation platform. Comput. 35, 2, 50--58. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Milo M. K. Martin, Daniel J. Sorin, Bradford M. Beckmann, Michael R. Marty, Min Xu, Alaa R. Alameldeen, Kevin E. Moore, Mark D. Hill, and David A. Wood. 2005. Multifacet's general execution-driven multi-processor simulator (GEMS) toolset. SIGARCH Comput. Archit. News 33, 4, 92--99. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Rino Micheloni, Alessia Marelli, and Rino Ravasio. 2008. Error Correction Codes for Non-Volatile Memories, 1st ed. Springer. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Moinuddin K. Qureshi. 2011. Pay-as-you-go: Low-overhead hard-error correction for phase change memories. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO'11). 318--328. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Moinuddin K. Qureshi, John Karidis, Michele M. Franceschini, Vijayalakshmi Srinivasan, Luis Lastras, and Bulent Abali. 2009a. Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO'09). 14--23. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Moinuddin K. Qureshi, Vijayalakshmi Srinivasan, and Jude A. Rivers. 2009b. Scalable high performance main memory system using phase-change memory technology. In Proceedings of the International Symposium on Computer Architecture (ISCA'09). 24--33. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Moinuddin K. Qureshi, Michele M. Franceschini, Luis A. Lastras-Montano, and John P. Karidis. 2010a. Morphable memory system: A robust architecture for exploiting multi-level phase change memories. In Proceedings of the International Symposium on Computer Architecture (ISCA'10). 153--162. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Moinuddin K. Qureshi, Michele M. Franceschini, and Luis A. Lastras-Montano. 2010b. Improving read performance of phase change memories via write cancellation and write pausing. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA'10). 1--11.Google ScholarGoogle Scholar
  31. Moinuddin K. Qureshi, Andre Seznec, Luis A. Lastras, and Michele M. Franceschini. 2011. Practical and secure PCM systems by online detection of malicious write streams. In Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA'11). 478--489. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Adrian Sampson, Jacob Nelson, Karin Strauss, and Luis Ceze. 2013. Approximate storage in solid-state memories. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO'13). 25--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Stuart Schechter, Gabriel H. Loh, Karin Straus, and Doug Burger. 2010. Use ECP, not ECC, for hard failures in resistive memories. In Proceedings of the International Symposium on Computer Architecture (ISCA'10). 141--152. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Nak Hee Seong, Dong Hyuk Woo, and Hsien-Hsin S. Lee. 2010a. Security refresh: Prevent malicious wearout and increase durability for phase-change memory with dynamically randomized address mapping. In Proceedings of the International Symposium on Computer Architecture (ISCA'10). 383--394. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Nak Hee Seong, Dong Hyuk Woo, Vijayalakshmi Srinivasan, Jude A. Rivers, and Hsien-Hsin S. Lee. 2010b. SAFER: Stuck-at-fault error recovery for memories. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO'10). 115--124. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Nak Hee Seong, Sungkap Yeo, and Hsien-Hsin S. Lee. 2013. Tri-level-cell phase change memory: Toward an efficient and reliable memory system. In Proceedings of the International Symposium on Computer Architecture (ISCA'13). 440--451. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Cloyce D. Spradling. 2007. SPEC CPU2006 benchmark tools. SIGARCH Comput. Archit. News 35, 1, 130--134. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Wei Xu and Tong Zhang. 2010. Using time-aware memory sensing to address resistance drift issue in multi-level phase change memory. In Proceedings of the International Symposium on Quality Electronic Design (ISQED'10). 356--361.Google ScholarGoogle Scholar
  39. Doe Hyun Yoon, Naveen Muralimanohar, Jichuan Chang, Parthasarathy Ranganathan, Norman P. Jouppi, and Mattan Erez. 2011. FREE-p: Protecting non-volatile memory against both hard and soft errors. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA'11). 466--477. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Wangyuan Zhang and Tao Li. 2009. Characterizing and mitigating the impact of process variations on phase change based memory systems. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO'09). 2--13. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Wangyuan Zhang and Tao Li. 2011. Helmet: A resistance drift resilient architecture for multi-level cell phase change memory system. In Proceedings of the IEEE/IFIP International Conference on Dependable Systems Networks (DSN'11). 197--208. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Ping Zhou, Bo Zhao, Jun Yang, and Youtao Zhang. 2009. A durable and energy efficient main memory using phase change memory technology. In Proceedings of the International Symposium on Computer Architecture (ISCA'09). 14--23. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Prolonging Lifetime of PCM-Based Main Memories through On-Demand Page Pairing

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 20, Issue 2
      February 2015
      404 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/2742143
      • Editor:
      • Naehyuck Chang
      Issue’s Table of Contents

      Copyright © 2015 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 2 March 2015
      • Accepted: 1 September 2014
      • Revised: 1 July 2014
      • Received: 1 February 2014
      Published in todaes Volume 20, Issue 2

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader