skip to main content
10.1145/2744769.2744811acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Construction of reconfigurable clock trees for MCMM designs

Published:07 June 2015Publication History

ABSTRACT

The clock networks of modern circuits must be able to operate in multiple corners and multiple modes (MCMM). Earlier studies on clock network synthesis for MCMM designs focus on the legalization of an initial clock network that has timing violations in different corners or modes. We propose a mode reconfigurable clock tree (MRCT) that is based on a correct-by-construction approach. An MRCT consists of multiple clock trees. Depending on the active mode, the MRCT is reconfigured such that one of the clock trees is activated to deliver the clock signal. To limit the overhead, the bottom part of the network (closer to the clock sinks) is shared among all of the clock trees, and only the top part of the network (closer to the clock source) is mode reconfigurable. The reconfiguration is realized using or-gates and a single one-input-multiple-output demultiplexer. The MRCT is constructed in a bottom-up fashion by iteratively merging subtrees to form larger subtrees. When two subtrees cannot be merged because of mode-incompatible constraints, an or-gate is inserted to separate the incompatible modes. Corner-incompatible constraints are resolved by reducing safety margins of appropriate skew constraints. The experimental results show that for a set of synthesized MCMM circuits with 715 to 13; 216 sequential elements, the proposed approach can achieve high yield.

References

  1. A. Agarwal, D. Blaauw, and V. Zolotov. Statistical timing analysis for intra-die process variations with spatial correlations. ICCAD'03, pages 900--907, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. T.-B. Chan, K. Han, A. B. Kahng, J.-G. Lee, and S. Nath. OCV-aware top-level clock tree optimization. GLSVLSI '14, pages 33--38, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. W. Chan. Process corner estimation circuit with temperature compensation, 2009. US Patent 7,634,746.Google ScholarGoogle Scholar
  4. Y. P. Chen and D. F. Wong. An algorithm for zero-skew clock tree routing with buffer insertion. EDTC'96, pages 230--237, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. R. Ewetz, S. Janarthanan, and C.-K. Koh. Fast clock skew scheduling based on sparse-graph algorithms. ASP-DAC '14, pages 472--477, 2014.Google ScholarGoogle Scholar
  6. J. Kim, D. Joo, and T. Kim. An optimal algorithm of adjustable delay buffer insertion for solving clock skew variation problem. DAC'13, pages 1--6, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. Lu and B. Taskin. Post-CTS clock skew scheduling with limited delay buffering. In Cir. and Sys., pages 224--227, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  8. NGSPICE. http://ngspice.sourceforge.net/.Google ScholarGoogle Scholar
  9. OpenCores. http://opencores.net/.Google ScholarGoogle Scholar
  10. A. Rajaram and D. Pan. Robust chip-level clock tree synthesis. CAD of Integrated Circuits and Sys., pages 877--890, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. V. Ramachandran. Construction of minimal functional skew clock trees. ISPD'12, pages 119--120, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. S. Roy, P. M. Mattheakis, L. Masse-Navette, and D. Z. Pan. Clock tree resynthesis for multi-corner multi-mode timing closure. ISPD'14, pages 69--76, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. W. Shen, Y. Cai, W. Chen, Y. Lu, Q. Zhou, and J. Hu. Useful clock skew optimization under a multi-corner multi-mode design framework. ISQED'10, pages 62--68, 2010.Google ScholarGoogle Scholar
  14. Y.-S. Su, W.-K. Hon, C.-C. Yang, S.-C. Chang, and Y.-J. Chang. Clock skew minimization in multi-voltage mode designs using adjustable delay buffers. CAD of ICs and Sys, pages 1921--1930, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. C. Sze. ISPD 2010 high performance clock synthesis contest: Benchmark suite and results. ISPD'10, pages 143--143, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. R. Ewetz S. Janarthanan, and C.-K. Koh. Benchmark circuits for clock scheduling and synthesis. https://purr.purdue.edu/publications/1759, 2015.Google ScholarGoogle Scholar
  17. C.-W. A. Tsao and C.-K. Koh. UST/DME: a clock tree router for general skew constraints. ACM TODAES, pages 359--379, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. M. Zhao, K. Gala, V. Zolotov, Y. Fu, R. Panda, R. Ramkumar, and B. Agrawal. Worst case clock skew under power supply variations. TAU '02, pages 22--28, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Construction of reconfigurable clock trees for MCMM designs

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          DAC '15: Proceedings of the 52nd Annual Design Automation Conference
          June 2015
          1204 pages
          ISBN:9781450335201
          DOI:10.1145/2744769

          Copyright © 2015 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 7 June 2015

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate1,770of5,499submissions,32%

          Upcoming Conference

          DAC '24
          61st ACM/IEEE Design Automation Conference
          June 23 - 27, 2024
          San Francisco , CA , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader