skip to main content
research-article

Enhanced Test Compaction for Multicycle Broadside Tests by Using State Complementation

Published:02 December 2015Publication History
Skip Abstract Section

Abstract

Multicycle tests support test compaction by allowing each test to detect more target faults. The ability of multicycle broadside tests to provide test compaction depends on the ability of primary input sequences to take the circuit between pairs of states that are useful for detecting target faults. This ability can be enhanced by adding design-for-testability (DFT) logic that allows states to be complemented. This article describes a test compaction procedure that uses such DFT logic to form a compact multicycle broadside test set for transition faults where the tests use constant primary input vectors. The use of complemented states also allows the procedure to increase the transition fault coverage beyond the transition fault coverage of a broadside test set. The procedure has the option of increasing the switching activity of the tests gradually in order to explore the tradeoff between the number of tests, the fault coverage, and the switching activity.

References

  1. G. Bhargava, D. Meehl, and J. Sage. 2007. Achieving serendipitous N-detect mark-offs in multi-capture-clock scan patterns. In Proceedings of the IEEE International Test Conference. IEEE, Paper 30.2.Google ScholarGoogle Scholar
  2. Z. Chen and D. Xiang. 2010. Low-capture-power at-speed testing using partial launch-on-capture test scheme. In Proceedings of the IEEE VLSI Test Symposium. IEEE, 141--146.Google ScholarGoogle Scholar
  3. K.-T. Cheng, S. Devadas and K. Keutzer. 1991. A partial enhanced-scan approach to robust delay-fault test generation for sequential circuits. In Proceedings of the IEEE International Test Conference. IEEE, 403--410. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. N. Devtaprasanna, A. Gunda, P. Krishnamurthy, S. M. Reddy, and I. Pomeranz. 2005. Methods for improving transition delay fault test coverage using broadside tests. In Proceedings of the IEEE International Test Conference. IEEE, 256--265.Google ScholarGoogle Scholar
  5. H. F. Ko and N. Nicolici. 2008. A novel automated scan chain division method for shift and capture power reduction in broadside at-speed test. In Proceedings of the International Symposium on Quality Electronic Design. IEEE, 649--654. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. S. Y. Lee and K. K. Saluja. 1995. Test application time reduction for sequential circuits with scan. IEEE Trans. Comput.-Aid. Des. 1128--1140. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. X. Lin and R. Thompson. 2003. Test generation for designs with multiple clocks. In Proceedings of the 40th Annual Design Automation Conference. ACM/IEEE, 662--667. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. W. Mao and M. D. Ciletti. 1990. Arrangement of latches in scan-path design to improve delay fault coverage. In Proceedings of the IEEE International Test Conference. IEEE, 387--393.Google ScholarGoogle Scholar
  9. E. K. Moghaddam, J. Rajski, S. M. Reddy, and M. Kassab. 2010. At-speed scan test with low switching activity. In Proceedings of the IEEE VLSI Test Symposium. IEEE, 177--182.Google ScholarGoogle Scholar
  10. I. Park and E. J. McCluskey. 2008. Launch-on-shift-capture transition tests. In Proceedings of the IEEE International Test Conference. IEEE, 1--9.Google ScholarGoogle Scholar
  11. I. Pomeranz. 2011. Generation of multi-cycle broadside tests. IEEE Trans. Comput.-Aid. Des. 1253--1257. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. I. Pomeranz. 2012. Multi-cycle tests with constant primary input vectors for increased fault coverage. IEEE Trans. Comput.-Aid. Des. 1428--1438. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. I. Pomeranz. 2014. Design-for-testability for multi-cycle broadside tests by holding of state variables. ACM Trans. Des. Autom. Electron. Syst. 19, 2, 19:1--19:20. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. I. Pomeranz. 2015. A multi-cycle test set based on a two-cycle test set with constant primary input vectors. IEEE Trans. Comput.-Aid. Des.Google ScholarGoogle ScholarCross RefCross Ref
  15. I. Pomeranz and S. M. Reddy. 1998. Static test compaction for scan-based designs to reduce test application time. In Proceedings of the Asian Test Symposium. IEEE, 198--203. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. I. Pomeranz and S. M. Reddy. 1999. On achieving complete coverage of delay faults in full scan circuits using locally available lines. In Proceedings of the International Test Conference. IEEE, 923--931. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. I. Pomeranz and S. M. Reddy. 2006. Generation of functional broadside tests for transition faults. IEEE Trans. Comput.-Aid. Des. IEEE, 2207--2218. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. I. Pomeranz and S. M. Reddy. 2010. Forming multi-cycle tests for delay faults by concatenating broadside tests. In Proceedings of the IEEE VLSI Test Symposium. IEEE, 51--56.Google ScholarGoogle Scholar
  19. J. Rearick. 2001. Too much delay fault coverage is a bad thing. In Proceedings of the International Test Conference. IEEE, 624--633. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. J. Savir and R. Berry. 1991. At-speed test is not necessarily an AC test. In Proceedings of the International Test Conference. IEEE, 722--728. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. R. C. Takumalla and P. R. Menon. 1997. Synthesis of delay verifiable sequential circuits using partial enhanced scan. In Proceedings of the International Conference on Computer Design. IEEE, 648--653. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. N. A. Touba and E. J. McCluskey. 1996. Applying two-pattern tests using scan-mapping. In Proceedings of the IEEE VLSI Test Symposium. IEEE, 393--397. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. S. Wang, X. Liu, and S. T. Chakradhar. 2004. Hybrid delay scan: A low hardware overhead scan based delay test technique for high fault coverage and compact test sets. In Proceedings of the Design, Automation and Test in Europe Conference. IEEE, 1296--1301. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. S. Wang and W. Wenlong. 2008. Low overhead partial enhanced scan technique for compact and high fault coverage transition delay test patterns. In Proceedings of the European Test Symposium. IEEE, 125--130. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. G. Xu and A. D. Singh. 2007. Flip-flop selection to maximize TDF coverage with partial enhanced scan. In Proceedings of the Asian Test Symposium. IEEE, 335--340. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Enhanced Test Compaction for Multicycle Broadside Tests by Using State Complementation
      Index terms have been assigned to the content through auto-classification.

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 21, Issue 1
        November 2015
        464 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/2852253
        • Editor:
        • Naehyuck Chang
        Issue’s Table of Contents

        Copyright © 2015 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 2 December 2015
        • Revised: 1 May 2015
        • Accepted: 1 May 2015
        • Received: 1 March 2015
        Published in todaes Volume 21, Issue 1

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader