skip to main content
research-article

Design-for-Testability for Functional Broadside Tests under Primary Input Constraints

Published:28 January 2016Publication History
Skip Abstract Section

Abstract

Functional broadside tests avoid overtesting of delay faults by creating functional operation conditions during the clock cycles where delay faults are detected. When a circuit is embedded in a larger design, a functional broadside test needs to take into consideration the functional constraints that the design creates for its primary input vectors. At the same time, application of primary input vectors as part of a scan-based test requires hardware support. An earlier work considered the case where a primary input vector is held constant during a test. The approach described in this article matches the hardware for applying primary input vectors to the functional constraints that the design creates. This increases the transition fault coverage that can be achieved by functional broadside tests. This article also considers the effect on the transition fault coverage achievable using close-to-functional broadside tests.

References

  1. A. Jas, Y.-S. Chan, and Y.-S. Chang. 2006. An approach to minimizing functional constraints. In Proceedings of the Symposium on Defect and Fault Tolerance in VLSI Systems. IEEE, 215--226. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. B. Kahng, I. Kang, and S. Nath. 2013. Incremental multiple-scan chain ordering for ECO flip-flop insertion. In Proceedings of the International Conference on Computer-Aided Design. ACM, 705--712. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. H. Konuk, E. Moghaddam, N. Mukherjee, J. Rajski, D. Solanki, J. Tyszer, and J. Zawada. 2015. Design for low test pattern counts. In Proceedings of the Design Automation Conference. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S. Kundu, S. Chattopadhyay, I. Sengupta, and R. Kapur. 2013. An ATE assisted DFD technique for volume diagnosis of scan chains. In Proceedings of the Design Automation Conference. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Y.-C. Lin, F. Lu, K. Yang, and K.-T. Cheng. 2005. Constraint extraction for pseudo-functional scan-based delay testing. In Proceedings of the Asia and South Pacific Design Automation Conference. IEEE, 166--171. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. E. K. Moghaddam, J. Rajski, S. M. Reddy, and M. Kassab. 2010. At-speed scan test with low switching activity. In Proceedings of the VLSI Test Symposium. IEEE, 177--182.Google ScholarGoogle Scholar
  7. I. Polian and F. Fujiwara. 2006. Functional constraints vs. test compression in scan-based delay testing. In Proceedings of the Design, Automation and Test in Europe Conference. IEEE, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. I. Pomeranz. 2004. On the generation of scan-based test sets with reachable states for testing under functional operation conditions. In Proceedings of the Design Automation Conference. IEEE, 928--933. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. I. Pomeranz. 2012. Functional broadside tests for embedded logic blocks. IET Computers & Digital Techniques. 6, 4, 223--231.Google ScholarGoogle ScholarCross RefCross Ref
  10. I. Pomeranz. 2013. Generation of functional broadside tests for logic blocks with constrained primary input sequences. IEEE Trans. on Computer-Aided Design. 442--452. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. I. Pomeranz. 2015. Generation of close-to-functional broadside tests with equal primary input vectors. In Proceedings of the Design Automation Conference. ACM, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. I. Pomeranz and S. M. Reddy. 2006. Generation of functional broadside tests for transition faults. IEEE Trans. on Computer-Aided Design. 2207--2218. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. I. Pomeranz and S. M. Reddy. 2009a. Definition and generation of partially-functional broadside tests. IET Computers & Digital Techniques. 1--13.Google ScholarGoogle Scholar
  14. I. Pomeranz and S. M. Reddy. 2009b. On-chip generation of the second primary input vectors of broadside tests. In Proceedings of the International Symposium on Defect and Fault Tolerance in VLSI Systems. IEEE, 38--46. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. Ravi and M. Joseph. 2014. High-level test synthesis: A survey from synthesis process flow perspective. ACM Trans. on Design Autom. 19, 4. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. J. Rearick. 2001. Too much delay fault coverage is a bad thing. In Proceedings of the International Test Conference. IEEE, 624--633. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Sarrazin, S. Evain, L. Alves de Barros Naviner, Y. Bonhomme, and V. Gherman. 2013. Scan design with shadow flip-flops for low performance overhead and concurrent delay fault detection. In Proceedings of the Design, Automation, and Test in Europe Conference. IEEE. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. J. Saxena, K. M. Butler, V. B. Jayaram, S. Kundu, N. V. Arvind, P. Sreeprakash, and M. Hachinger. 2003. A case study of ir-drop in structured at-speed testing. In Proceedings of the International Test Conference. IEEE, 1098--1104.Google ScholarGoogle Scholar
  19. S. Sde-Paz and E. Salomon. 2008. Frequency and power correlation between at-speed scan and functional tests. In Proceedings of the International Test Conference. IEEE, Paper 13.3, 1--9.Google ScholarGoogle Scholar
  20. M. Syal, et al. 2006. A study of implication based pseudo functional testing. In Proceedings of the International Test Conference. IEEE.Google ScholarGoogle ScholarCross RefCross Ref
  21. D. Xiang, Z. Chen, and L.-T. Wang. 2012. Scan flip-flop grouping to compress test data and compact test responses for launch-on-capture delay testing. ACM Trans. on Design Autom. 17, 2. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Q. Xu and N. Nicolici. 2006. DFT infrastructure for broadside two-pattern test of core-based SOCs. IEEE Trans. on Computers, IEEE, 470--485. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in

Full Access

  • Published in

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 21, Issue 2
    January 2016
    422 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/2888405
    • Editor:
    • Naehyuck Chang
    Issue’s Table of Contents

    Copyright © 2016 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 28 January 2016
    • Accepted: 1 September 2015
    • Revised: 1 August 2015
    • Received: 1 June 2015
    Published in todaes Volume 21, Issue 2

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article
    • Research
    • Refereed

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader