skip to main content
10.1145/2897937.2897993acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

BLESS: a simple and efficient scheme for prolonging PCM lifetime

Published:05 June 2016Publication History

ABSTRACT

Limited endurance problem and low cell reliability are main challenges of phase change memory (PCM) as an alternative to DRAM. To further prolong the lifetime of a PCM device, there exist a number of techniques that can be grouped in two categories: 1) reducing the write rate to PCM cells, and 2) handling cell failures when faults occur. Our experiments confirm that during write operations, an extensive non-uniformity in bit ips is exhibited. To reduce this non-uniformity, we present byte-level shifting scheme (BLESS) which reduces write pressure over hot cells of blocks. Additionally, this shifting mechanism can be used for error recovery purpose by using the MLC capability of PCM and manipulating the data block to recover faulty cells. Evaluation results for multi-threaded workloads reveal 14-25% improvement in lifetime over existing state-of-the-art schemes.

References

  1. Marjan Asadinia, Mohammad Arjomand, and Hamid Sarbazi-Azad. Od3p: On-demand page paired pcm. In Proceedings of the 51st Annual Design Automation Conference, DAC '14, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Marjan Asadinia and Hamid Sarbazi-Azad. Using intra-line level pairing for graceful degradation support in pcms. In VLSI (ISVLSI), 2015 IEEE Computer Society Annual Symposium on, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  3. Rodolfo Azevedo, John D. Davis, Karin Strauss, Parikshit Gopalan, Mark Manasse, and Sergey Yekhanin. Zombie memory: Extending memory lifetime by reviving dead blocks. In ISCA, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, and Kai Li. The parsec benchmark suite: Characterization and architectural implications. In PACT, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Nathan Binkert et al. The gem5 simulator. SIGARCH Comput. Archit. News, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Sangyeun Cho and Hyunjin Lee. Flip-N-Write: a simple deterministic technique to improve PRAM write performance, energy and endurance. In MICRO, pages 347--357, Dec. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Jie Fan, Song Jiang, Jiwu Shu, Youhui Zhang, and Weimin Zhen. Aegis: Partitioning data block for efficient recovery of stuck-at-faults in phase change memory. In Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, pages 433--444. ACM, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Engin Ipek, Jeremy Condit, Edmund B. Nightingale, Doug Burger, and Thomas Moscibroda. Dynamically replicated memory: building reliable systems from nanoscale resistive memories. In ASPLOS, pages 3--14, Mar. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Majid Jalili, Mohammad Arjomand, and Hamid Sarbazi-Azad. A reliable 3d mlc pcm architecture with resistance drift predictor. In Proceedings of the 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Majid Jalili and Hamid Sarbazi-Azad. A compression-based morphable pcm architecture for improving resistance drift tolerance. ASAP, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  11. Lei Jiang, Yu Du, Youtao Zhang, B. R. Childers, and Jun Yang. LLS: cooperative integration of wear-leveling and salvaging for PCM main memory. In DSN, pages 221--232, June 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Moinuddin K. Qureshi. Pay-As-You-Go: low-overhead hard-error correction for phase change memories. In MICRO, pages 318--328, Dec. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Moinuddin K. Qureshi, John Karidis, Michele Franceschini, Vijayalakshmi Srinivasan, Luis Lastras, and Bulent Abali. Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling. In MICRO, pages 14--23, Dec. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Stuart Schechter, Gabriel H. Loh, Karin Straus, and Doug Burger. Use ECP, not ECC, for hard failures in resistive memories. In ISCA, pages 141--152, June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Nak Hee Seong, Dong Hyuk Woo, Vijayalakshmi Srinivasan, Jude A. Rivers, and Hsien-Hsin S. Lee. SAFER: Stuck-at-fault error recovery for memories. In MICRO, pages 115--124, Dec. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Jue Wang, Xiangyu Dong, Yuan Xie, and N. P. Jouppi. i2wap: Improving non-volatile cache lifetime by reducing inter- and intra-set write variations. In IEEE 19th International Symposium on High Performance Computer Architecture, HPCA, pages 234--245, Feb 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Doe Hyun Yoon, N. Muralimanohar, Jichuan Chang, P. Ranganathan, N. P. Jouppi, and M. Erez. FREE-p: Protecting non-volatile memory against both hard and soft errors. In HPCA, pages 466--477, Feb. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. BLESS: a simple and efficient scheme for prolonging PCM lifetime

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Other conferences
        DAC '16: Proceedings of the 53rd Annual Design Automation Conference
        June 2016
        1048 pages
        ISBN:9781450342360
        DOI:10.1145/2897937

        Copyright © 2016 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 5 June 2016

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader