skip to main content
10.1145/2897937.2898033acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Incremental layer assignment for critical path timing

Published:05 June 2016Publication History

ABSTRACT

With VLSI technology nodes scaling into nanometer regime, interconnect delay plays an increasingly critical role in timing. For layer assignment, most works deal with via counts or total net delays, ignoring critical paths of each net and resulting in potential timing issues. In this paper we propose an incremental layer assignment framework targeting at delay optimization for critical path of each net. A set of novel techniques are presented: self-adaptive quadruple partition based on KxK division benefits the run-time; semidefinite programming is utilized for each partition; post mapping algorithm guarantees integer solutions while satisfying edge capacities. The effectiveness of our work is verified by ISPD'08 benchmarks.

References

  1. J. H.-C. Chen, T. E. Standaert, E. Alptekin, T. A. Spooner, and V. Paruchuri, "Interconnect performance and scaling strategy at 7 nm node," in Proc. IITC, 2014, pp. 93--96.Google ScholarGoogle Scholar
  2. J. Hu and S. S. Sapatnekar, "A survey on multi-net global routing for integrated circuits," Integration, the VLSI Journal, vol. 31, no. 1, pp. 1--49, 2001.Google ScholarGoogle ScholarCross RefCross Ref
  3. M.-K. Hsu, N. Katta, H. Y.-H. Lin, K. T.-H. Lin, K. H. Tam, and K. C.-H. Wang, "Design and manufacturing process co-optimization in nano-technology," in Proc. ICCAD, 2014, pp. 574--581. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. B. Yu, D. Liu, S. Chowdhury, and D. Z. Pan, "TILA: Timing-driven incremental layer assignment," in Proc. ICCAD, 2015, pp. 110--117. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. T.-H. Lee and T.-C. Wang, "Congestion-constrained layer assignment for via minimization in global routing," IEEE TCAD, vol. 27, no. 9, pp. 1643--1656, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. K.-R. Dai, W.-H. Liu, and Y.-L. Li, "Efficient simulated evolution based rerouting and congestion-relaxed layer assignment on 3-D global routing," in Proc. ASPDAC, 2009, pp. 570--575. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. W.-H. Liu and Y.-L. Li, "Negotiation-based layer assignment for via count and via overflow minimization," in Proc. ASPDAC, 2011, pp. 539--544. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. T.-H. Lee and T.-C. Wang, "Simultaneous antenna avoidance and via optimization in layer assignment of multi-layer global routing," in Proc. ICCAD, 2010, pp. 312--318. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Ao, S. Dong, S. Chen, and S. Goto, "Delay-driven layer assignment in global routing under multi-tier interconnect structure," in Proc. ISPD, 2013, pp. 101--107. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. M. Queyranne, "Performance ratio of polynomial heuristics for triangle inequality quadratic assignment problems," Operations Research Letters, vol. 4, no. 5, pp. 231--234, 1986. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. C.-H. Hsu, H.-Y. Chen, and Y.-W. Chang, "Multi-layer global routing considering via and wire capacities," in Proc. ICCAD, 2008, pp. 350--355. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A. D. Gunawardena, S. Jain, and L. Snyder, "Modified iterative methods for consistent linear systems," Linear Algebra and its Applications, vol. 154, pp. 123--143, 1991.Google ScholarGoogle ScholarCross RefCross Ref
  13. L. Vandenberghe and S. Boyd, "Semidefinite programming," SIAM Review (SIREV), vol. 38, no. 1, pp. 49--95, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Gurobi Optimization Inc., "Gurobi optimizer reference manual," http://www.gurobi.com, 2014.Google ScholarGoogle Scholar
  15. B. Borchers, "CSDP, a C library for semidefinite programming," Optimization Methods and Software, vol. 11, pp. 613--623, 1999.Google ScholarGoogle ScholarCross RefCross Ref
  16. "OpenMP," http://www.openmp.org/.Google ScholarGoogle Scholar
  17. G.-J. Nam, C. Sze, and M. Yildiz, "The ISPD global routing benchmark suite," in Proc. ISPD, 2008, pp. 156--159. Google ScholarGoogle ScholarDigital LibraryDigital Library
  1. Incremental layer assignment for critical path timing

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Other conferences
      DAC '16: Proceedings of the 53rd Annual Design Automation Conference
      June 2016
      1048 pages
      ISBN:9781450342360
      DOI:10.1145/2897937

      Copyright © 2016 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 5 June 2016

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader