skip to main content
10.1145/2934583.2934635acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Maximizing Energy Efficiency in NTC by Variation-Aware Microprocessor Pipeline Optimization

Authors Info & Claims
Published:08 August 2016Publication History

ABSTRACT

Near threshold computing (NTC) has the potential to reduce the energy consumption by orders of magnitude. However, NTC designs suffer from a higher sensitivity to process variation and substantial performance degradation. In NTC, process variation affects the delays of different pipeline stages significantly, resulting in energy-inefficient designs. In this paper, we propose an energy-efficient variation-aware processor pipeline optimization, in which the pipeline stages are balanced by considering the impact of process variation during earlier design phases. This can lead to a well-balanced design and significant improvement in energy-efficiency. For this purpose, we employ an iterative variation-aware synthesis flow in which the synthesis tool is provided with variation information. Since the impact of process variation is considered during synthesis, our technique can improve the energy-efficiency by avoiding pessimistic guard band. Simulation results show that our technique can improve the energy-efficiency of OpenSPARC and FabScalar cores by 55% and 85%, respectively.

References

  1. Karpuzcu et.al. Energysmart: Toward energy-efficient manycores for near-threshold computing. In HPCA 2013. IEEE. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Pinckney et.al. Assessing the performance limits of parallelized near-threshold computing. In DAC. ACM, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Dreslinski et.al. Near-threshold computing: Reclaiming moore's law through energy efficient ic. IEEE Proc., 2010.Google ScholarGoogle Scholar
  4. Karpuzcu et.al. Coping with parametric variation at near-threshold voltages. Micro, IEEE, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Chun et.al. Shapeshifter: Dynamically changing pipeline width and speed to address process variations. In International Symposium on Microarchitecture. IEEE Computer Society, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Fojtik et.al. Bubble razor: Architecture-independent approach to timing-error detection and correction. In ISSCC, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  7. Liu et.al. Process variation reduction for cmos logic operating at sub-threshold supply voltage. In Digital System Design, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Sato et.al. A simple flip-flop circuit for typical-case designs for dfm. In Quality Electronic Design, 2007. ISQED'07. IEEE. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Das et.al. Razorii: In situ error detection and correction for pvt and ser tolerance. Solid-State Circuits, 2009.Google ScholarGoogle Scholar
  10. Fabian Oboril and Mehdi B Tahoori. Aging-aware design of microprocessor instruction pipelines. TCAD, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  11. Kaul et.al. Near-threshold voltage (ntv) design: opportunities and challenges. In DAC. ACM, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Chae et.al. A dynamic timing control technique utilizing time borrowing and clock stretching. In CICC, 2010. IEEE.Google ScholarGoogle ScholarCross RefCross Ref
  13. Choudhury et.al. Timber: Time borrowing and error relaying for online timing error resilience. In DATE, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Ernst et.al. Razor: circuit-level correction of timing errors for low-power operation. IEEE Micro, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Bowman et.al. Energy-efficient and metastability-immune timing-error detection and instruction-replay-based recovery circuits for dynamic-variation tolerance. In ISSCC. IEEE, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  16. Tiwari et.al. Recycle:: pipeline adaptation to tolerate process variation. In Computer Architecture News. ACM, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Sartori et.al. Power balanced pipelines. In High Performance Computer Architecture (HPCA). IEEE, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Kuhn et.al. Process technology variation. Electron Devices, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  19. Sunil Walia. Primetime® advanced ocv technology. Synopsys, Inc, 2009.Google ScholarGoogle Scholar
  20. Koppanalil et.al. A case for dynamic pipeline scaling. In international conference on Compilers, architecture, and synthesis for embedded systems. ACM, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Opensparc t1. http://www.oracle.com/technetwork/systems/opensparc/index.html. Accessed: 2016-01-30.Google ScholarGoogle Scholar
  22. Choudhary et.al. Fabscalar: Automating superscalar core design. IEEE Micro, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Maximizing Energy Efficiency in NTC by Variation-Aware Microprocessor Pipeline Optimization

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          ISLPED '16: Proceedings of the 2016 International Symposium on Low Power Electronics and Design
          August 2016
          392 pages
          ISBN:9781450341851
          DOI:10.1145/2934583

          Copyright © 2016 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 8 August 2016

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed limited

          Acceptance Rates

          ISLPED '16 Paper Acceptance Rate60of190submissions,32%Overall Acceptance Rate398of1,159submissions,34%

          Upcoming Conference

          ISLPED '24

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader