skip to main content
10.1145/3225209.3225210acmconferencesArticle/Chapter ViewAbstractPublication PagesslipConference Proceedingsconference-collections
research-article

Fast and precise routability analysis with conditional design rules

Published:23 June 2018Publication History

ABSTRACT

As pin accessibility encounters more challenges due to the less number of tracks, higher pin density, and more complex design rules, routability has become one bottleneck of sub-10nm designs. Thus, we need a new design methodology for fast turnaround in analyzing the feasibility of the layout architecture, e.g., design rules and patterns of pin assignment. In this paper, we propose a novel framework that efficiently identifies the design rule-correct routability by creating well-organized formulation. We start with a new SAT-friendly ILP formulation which satisfies conditional design rules. In the ILP-to-SAT conversion stage, we reduce the complexity of the SAT problem by utilizing a logic minimizer and further refining the SAT formula. We demonstrate that our framework performs the routability analysis within 0.24% of ILP runtime on average, while guaranteeing the precise assessment of the routability.

References

  1. F. A. Aloul, A. Ramani, I. L. Markov and K. A. Sakallah, "Generic ILP versus Specialized 0--1 ILP: An Update", Proc. ICCAD, 2002, pp. 450--457. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. R. Aitken, G. Yeric, B. Cline, S. Sinha, L. Shifren, I. Iqbal and V. Chandra, "Physical Design and FinFETs", Proc. ISPD, 2014, pp. 65--68. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Y. Badr, K.-W. Ma and P. Gupta, "Layout Pattern-Driven Design Rule Evaluation", Proc. SPIE DPTCOM VIII, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  4. M. G. Bardon et al., "Extreme Scaling Enabled by 5 Tracks Cells: Holistic Design-Device Co-optimization for FinFETs and Lateral Nanowires", Proc. IEDM, 2016, pp. 28.2.1--28.2.4.Google ScholarGoogle Scholar
  5. I. S. Bustany, D. Chinnery, J. R. Shinnerl and V. Yutsis, "ISPD 2015 Benchmarks with Fence Regions and Routing Blockages for Detailed-Routing-Driven Placement", Proc. ISPD, 2015, pp. 157--164. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. W.-T. J. Chan, P.-H. Ho, A. B. Kahng and P. Saxena, "Routability Optimization for Industrial Designs at Sub-14nm Process Nodes Using Machine Learning", Proc. ISPD, 2017, pp. 15--21. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. P. Cremer, S. Hougardy, J. Schneider and J. Silvanus, "Automatic Cell Layout in the 7nm Era", Proc. ISPD, 2017, pp. 99--106. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. P. Debacker, K. Han, A. B. Kahng, H. Lee, P. Raghavan and L. Wang, "Vertical M1 Routing-Aware Detailed Placement for Congestion and Wirelength Reduction in Sub-10nm Nodes", Proc. DAC, 2017, pp. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Y. Ding, C. Chu and W.-K. Mak, "Pin Accessibility-Driven Detailed Placement Refinement", Proc. ISPD, 2017, pp. 133--140. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. H. Fraisse, A. Joshi, D. Gaitonde and A. Kaviani, "Boolean Satisfiability-Based Routing and Its Application to Xilinx UltraScale Clock Network", Proc. FPGA, 2016, pp. 74--79. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. K. Han, A. B. Kahng and H. Lee, "Evaluation of BEOL Design Rule Impacts Using An Optimal ILP-based Detailed Router", Proc. DAC, 2015, pp. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M.-K. Hsu, N. Katta, H. Y.-H. Lin, K. T.-H. Lin, K. H. Tam and K. C.-H. Wang, "Design and Manufacturing Process Co-optimization in Nano-technology", Proc. ICCAD, 2014, pp. 574--581. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. X. Jia, Y. Cai, Q. Zhou, G. Chen, Z. Li and Z. Li, "MCFRoute: A Detailed Router Based on Multi-Commodity Flow Method" Proc. ICCAD, 2014, pp. 397--404. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. I. Kang and C.-K. Cheng, "Physical Layout after Half a Century: From Back-Board Ordering to Multi-Dimensional Placement and Beyond", Proc. ISPD, 2017, pp. 123--128. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. K. Lucas, C. Cork, B. Yu, G. Luk-Pat, B. Painter and D. Z. Pan, "Implications of Triple Patterning for 14nm Node Design and Patterning", Proc. SPIE Advanced Lithography, 2012, pp. 1--12.Google ScholarGoogle Scholar
  16. L. Luo and M. D. F. Wong, "Ordered Escape Routing Based on Boolean Satisfiability", Proc. ASPDAC, 2008, pp. 244--249. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Y. Ma, J. Sweis, H. Yoshida, Y. Wang, J. Kye and H. J. Levinson, "Self-Aligned Double Patterning (SADP) Compliant Design Flow", Proc. SPIE Advanced Lithography, 2012, pp. 1--13.Google ScholarGoogle Scholar
  18. S. Mukherjee and S. Roy, "SAT Based Multi Pin Net Detailed Routing for FPGA", Proc. ISED, 2010, pp. 141--146. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. G.-J. Nam, K. A. Sakallah and R. A. Rutenbar, "A New FPGA Detailed Routing Approach via Search-Based Boolean Satisfiability", IEEE Trans. on CAD 21(6) (2002), pp. 674--684. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. M. M. Ozdal, "Detailed-Routing Algorithms for Dense Pin Clusters in Integrated Circuits", IEEE Trans. on CAD 28(3) (2009), pp. 340--349. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. S. Russel and P. Norvig, Artificial Intelligence - A Modern Approach, Prentice Hall, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. N. Ryzhenko and S. Burns, "Standard Cell Routing via Boolean Satisfiability", Proc. DAC, 2012, pp. 603--612 Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. J. Seo, J. Jung, S. Kim and Y. Shin, "Pin Accessibility-Driven Cell Layout Redesign and Placement Optimization", Proc. DAC, 2017, Article 54. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. D. Shi and A. Davoodi, "Improving Detailed Routability and Pin Access with 3D Monolithic Standard Cells", Proc. ISPD, 2017, pp. 107--112. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. T. Taghavi, Z. Li, C. Alpert, G.-J. Nam, A. Huber and S. Ramji, "New Placement Prediction and Mitigation Techniques for Local Routing Congestion", Proc. ICCAD, 2010, pp. 621--624. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. C.-K. Wang, C.-C. Huang, S. S.-Y. Liu, C.-Y. Chin, S.-T. Hu, W.-C. Wu and H.-M. Chen, "Closing the Gap between Global and Detailed Placement: Techniques for Improving Routability", Proc. ISPD, 2015, pp. 149--156. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. X. Xu, B. Cline, G. Yeric, B. Yu and D. Z. Pan, "Self-aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-optimization", IEEE Trans. on CAD 34(5) (2015), pp. 699--712.Google ScholarGoogle ScholarCross RefCross Ref
  28. X. Xu, B. Yu, J.-R. Gao, C.-L. Hsu and D. Z. Pan, "PARR: Pin-Access Planning and Regular Routing for Self-Aligned Double Patterning", Trans. on DAES 21(3) (2016), Article 42. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. P.-H. Yuh, C. C.-Y. Lin, T.-W. Huang, T.-Y. Ho, C.-L. Yang and Y.-W. Chang, "A SAT-based Routing Algorithm for Cross-Referencing Biochips", Proc. SLIP, 2011, Article 6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. V. Yutsis, I. S. Bustany, D. Chinnery, J. Shinnerl and W.-H. Liu, "ISPD 2014 Benchmarks with Sub-45nm Technology Rules for Detailed-Routing-Driven Placement", Proc. ISPD, 2014, pp. 161--168. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. P. Zarkesh-Ha, J. A. Davis, W. Loh and J. D. Meindl, "Prediction of Interconnect Fan-Out Distribution using Rent's Rule", Proc. SLIP, 2000, pp. 107--112. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Cadence Design Systems, Inc., Sr Software Engineering Group Director, personal communication, 2017.Google ScholarGoogle Scholar
  33. Espresso, Logic Minimizer, http://embedded.eecs.berkeley.edu/pubs/downloads/espresso/.Google ScholarGoogle Scholar
  34. IBM ILOG CPLEX, http://www.ilog.com/products/cplex/.Google ScholarGoogle Scholar
  35. ITRS Report 2015 Edition, http://www.semiconductors.org/main/2015_international_technology_roadmap_for_semiconductors_itrs/.Google ScholarGoogle Scholar
  36. Plingeling, Multi-Threading SAT Solver, http://fmv.jku.at/lingeling/.Google ScholarGoogle Scholar

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    SLIP '18: Proceedings of the 20th System Level Interconnect Prediction Workshop
    June 2018
    45 pages
    ISBN:9781450359009
    DOI:10.1145/3225209
    • General Chair:
    • Shiyan Hu

    Copyright © 2018 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 23 June 2018

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    SLIP '18 Paper Acceptance Rate6of8submissions,75%Overall Acceptance Rate6of8submissions,75%

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader