skip to main content
10.1145/3287624.3287677acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

MDP-trees: multi-domain macro placement for ultra large-scale mixed-size designs

Published:21 January 2019Publication History

ABSTRACT

In this paper, we present a new hybrid representation of slicing trees and multi-packing trees, called multi-domain-packing trees (MDP-trees), for macro placement to handle ultra large-scale multi-domain mixed-size designs. A multi-domain design typically consists of a set of mixed-size domains, each with hundreds/thousands of large macros and (tens of) millions of standard cells, which is often seen in modern high-end applications (e.g., 4G LTE products and upcoming 5G ones). To the best of our knowledge, there is still no published work specifically tackling the domain planning and macro placement simultaneously. Based on binary trees, the MDP-tree is very efficient and effective for handling macro placement with multiple domains. Previous works on macro placement can handle only single-domain designs, which do not consider the global interactions among domains. In contrast, our MDP-trees plan domain regions globally, and optimize the interconnections among domains and macro/cell positions simultaneously. The placement area of each domain is well reserved, and the macro displacement is minimized from initial macro positions of the design prototype. Experimental results show that our approach can significantly reduce both the average half-perimeter wirelength and the average global routing wirelength.

References

  1. S. N. Adya, S. Chaturvedi, J. A. Roy, D. A. Papa, and I. L. Markov. Unification of partitioning, placement and floorplanning. In Proc. of ICCAD, pages 550--557, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. T. F. Chan, J. Cong, J. Shinnerl, K. Sze, and M. Xie. mPL6: Enhanced multilevel mixed-size placement. In Proc. of ISPD, pages 212--214, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. H.-C. Chen, Y.-L. Chuang, Y.-W. Chang, and Y.-C. Chang. Constraint graph-based macro placement for modern mixed-size circuit designs. In Proc. of ICCAD, pages 218--223, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang. NTUplace3: An analytical placer for large-scale mixed-size designs with preplaced blocks and density constraints. IEEE Tran. on CAD, 27(7):1228--1240, July 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. T.-C. Chen, P.-H. Yuh, Y.-W. Chang, F.-J. Huang, and D. Liu. MP-trees: A packing-based macro placement algorithm for mixed size designs. In Proc. of DAC, pages 447--452, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. T.-C. Chen, P.-H. Yuh, Y.-W. Chang, F.-J. Huang, and T.-Y. Liu. MP-trees: A packing-based macro placement algorithm for modern mixed-size designs. IEEE Tran. on CAD, 27(9):1621--1634, September 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Y.-F. Chen, C.-C. Huang, C.-H. Chiou, Y.-W. Chang, and C.-J. Wang. Routability-driven blockage-aware macro placement. In Proc. of DAC, pages 1--6, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C.-H. Chiou, C.-H. Chang, S.-T. Chen, and Y.-W. Chang. Circular-contour-based obstacle-aware macro placement. In Proc. of ASPDAC, pages 172--177, 2016.Google ScholarGoogle ScholarCross RefCross Ref
  9. J. Cong and M. Xie. A robust mixed-size legalization and detailed placement algorithm. IEEE Tran. on CAD, 27(8):1349--1362, August 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. M.-K. Hsu and Y.-W. Chang. Unified analytical global placement for large-scale mixed-size circuit designs. IEEE Tran. on CAD, 31(9):1366--1378, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. M.-C. Kim, J. Hu, J. Li, and N. Viswanathan. ICCAD-2015 cad contest in incremental timing-driven placement and benchmark suite. In Proc. of ICCAD, pages 921--926, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M.-C. Kim, D.-J. Lee, and I. L. Markov. SimPL: An effective placement algorithm. In Proc. of ICCAD, pages 649--656, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. M.-C. Kim and I. L. Markov. Complx: A competitive primal-dual lagrange optimization for global placement. In Proc. of DAC, pages 747--752, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. M.-C. Kim, N. Viswanathan, C. J. Alpert, I. L. Markov, and S. Ramji. MAPLE: Multilevel adaptive placement for mixed-size designs. In Proc. of ISPD, pages 193--200, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J.-M. Lin, B.-H. Yu, and L.-Y. Chang. Regularity-aware routability-driven placement prototyping algorithm for hierarchical mixed-size circuits. In Proc. of ASPDAC, pages 438--443. IEEE, 2017.Google ScholarGoogle ScholarCross RefCross Ref
  16. J. Lu, H. Zhuang, P. Chen, H. Chang, C.-C. Chang, Y.-C. Wong, L. Sha, D. Huang, Y. Luo, C.-C. Teng, et al. eplace-ms: Electrostatics-based placement for mixed-size circuits. IEEE Tran. on CAD, 34(5):685--698, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  17. Maxeda, Technology, Inc. http://www.maxeda.tech/.Google ScholarGoogle Scholar
  18. M. D. Moffitt, A. N. Ng, I. L. Markov, and M. E. Pollack. Constraint-driven floorplan repair. In Proc. of DAC, pages 1103--1108, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. N. Viswanathan, M. Pan, and C. Chu. FastPlace 3.0: A fast multilevel quadratic placement algorithm with placement congestion control. In Proc. of ASPDAC, pages 135--140, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. D. Wong, H. W. Leong, and C. L. Liu. Simulated annealing for VLSI design. Kluwer Academic Publishers, 1988. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. J. Z. Yan, N. Viswanathan, and C. Chu. Handling complexities in modern large-scale mixed-size placement. In Proc. of DAC, pages 436--441, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. F. Y. Young and D. Wong. How good are slicing floorplans? Integration, the VLSI journal, 23(1):61--73, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. MDP-trees: multi-domain macro placement for ultra large-scale mixed-size designs

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ASPDAC '19: Proceedings of the 24th Asia and South Pacific Design Automation Conference
      January 2019
      794 pages
      ISBN:9781450360074
      DOI:10.1145/3287624

      Copyright © 2019 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 21 January 2019

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate466of1,454submissions,32%

      Upcoming Conference

      ASPDAC '25

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader