skip to main content
10.1145/3287624.3288746acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

LithoROC: lithography hotspot detection with explicit ROC optimization

Published:21 January 2019Publication History

ABSTRACT

As modern integrated circuits scale up with escalating complexity of layout design patterns, lithography hotspot detection, a key stage of physical verification to ensure layout finishing and design closure, has raised a higher demand on its efficiency and accuracy. Among all the hotspot detection approaches, machine learning distinguishes itself for achieving high accuracy while maintaining low false alarms. However, due to the class imbalance problem, the conventional practice which uses the accuracy and false alarm metrics to evaluate different machine learning models is becoming less effective. In this work, we propose the use of the area under the ROC curve (AUC), which provides a more holistic measure for imbalanced datasets compared with the previous methods. To systematically handle class imbalance, we further propose the surrogate loss functions for direct AUC maximization as a substitute for the conventional cross-entropy loss. Experimental results demonstrate that the new surrogate loss functions are promising to outperform the cross-entropy loss when applied to the state-of-the-art neural network model for hotspot detection.

References

  1. C. A. Mack, "Thirty years of lithography simulation," in Optical Microlithography XVIII, vol. 5754. International Society for Optics and Photonics, 2004, pp. 1--13.Google ScholarGoogle Scholar
  2. J. Xu, S. Sinha, and C. C. Chiang, "Accurate detection for process-hotspots with vias and incomplete specification," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2007, pp. 839--846. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Y.-T. Yu, Y.-C. Chan, S. Sinha, I. H.-R. Jiang, and C. Chiang, "Accurate process-hotspot detection using critical design rule extraction," in ACM/IEEE Design Automation Conference (DAC), 2012, pp. 1167--1172. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S.-Y. Lin, J.-Y. Chen, J.-C. Li, W.-Y. Wen, and S.-C. Chang, "A novel fuzzy matching model for lithography hotspot detection," in ACM/IEEE Design Automation Conference (DAC), 2013, pp. 68:1--68:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. W.-Y. Wen, J.-C. Li, S.-Y. Lin, J.-Y. Chen, and S.-C. Chang, "A fuzzy-matching model with grid reduction for lithography hotspot detection," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 33, no. 11, pp. 1671--1680, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  6. I. Nitta, Y. Kanazawa, T. Ishida, and K. Banno, "A fuzzy pattern matching method based on graph kernel for lithography hotspot detection," in Design-Process-Technology Co-optimization for Manufacturability XI, vol. 10148. International Society for Optics and Photonics, 2017.Google ScholarGoogle Scholar
  7. D. G. Drmanac, F. Liu, and L.-C. Wang, "Predicting variability in nanoscale lithography processes," in ACM/IEEE Design Automation Conference (DAC), 2009, pp. 545--550. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. D. Ding, J. A. Torres, and D. Z. Pan, "High performance lithography hotspot detection with successively refined pattern identifications and machine learning," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 30 no. 11 pp. 1621--1634 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. D. Ding, B. Yu, J. Ghosh, and D. Z. Pan, "EPIC: Efficient prediction of IC manufacturing hotspots with a unified meta-classification formulation," in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2012, pp. 263--270.Google ScholarGoogle Scholar
  10. Y.-T. Yu, G.-H. Lin, I.H.-R. Jiang, and C. Chiang, "Machine-learning-based hotspot detection using topological classification and critical feature extraction," in ACM/IEEE Design Automation Conference (DAC), 2013, pp. 671--676. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. T. Matsunawa, J.-R. Gao, B. Yu, and D. Z. Pan, "A new lithography hotspot detection framework based on AdaBoost classifier and simplified feature extraction," in Proceedings of SPIE, vol. 9427, 2015.Google ScholarGoogle Scholar
  12. Y.-T. Yu, G.-H. Lin, I. H.-R. Jiang, and C. Chiang, "Machine-learning-based hotspot detection using topological classification and critical feature extraction," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 34, no. 3, pp. 460--470, 2015.Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. H. Zhang, B. Yu, and E. F. Y. Young, "Enabling online learning in lithography hotspot detection with information-theoretic feature optimization," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016, pp. 47:1--47:8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Y. Tomioka, T. Matsunawa, C. Kodama, and S. Nojima, "Lithography hotspot detection by two-stage cascade classifier using histogram of oriented light propagation," in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2017, pp. 81--86.Google ScholarGoogle Scholar
  15. H. Zhang, F. Zhu, H. Li, E. F. Y. Young, and B. Yu, "Bilinear lithography hotspot detection," in ACM International Symposium on Physical Design (ISPD), 2017, pp. 7--14. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. J. W. Park, A. Torres, and X. Song, "Litho-aware machine learning for hotspot detection," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 37, no. 7, pp. 1510--1514, 2018.Google ScholarGoogle ScholarCross RefCross Ref
  17. T. Matsunawa, S. Nojima, and T. Kotani, "Automatic layout feature extraction for lithography hotspot detection based on deep neural network," in SPIE Advanced Lithography, vol. 9781, 2016.Google ScholarGoogle Scholar
  18. M. Shin and J.-H. Lee, "Accurate lithography hotspot detection using deep convolutional neural networks," Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3), vol. 15, no. 4, p. 043507, 2016.Google ScholarGoogle ScholarCross RefCross Ref
  19. H. Yang, L. Luo, J. Su, C. Lin, and B. Yu, "Imbalance aware lithography hotspot detection: a deep learning approach," Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3), vol. 16, no. 3, p. 033504, 2017.Google ScholarGoogle Scholar
  20. H. Yang, J. Su, Y. Zou, B. Yu, and E. F. Y. Young, "Layout hotspot detection with feature tensor generation and deep biased learning," in ACM/IEEE Design Automation Conference (DAC), 2017, pp. 62:1--62:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. J. A. Swets and R. M. Pickett, Evaluation of diagnostic systems: methods from signal detection theory. New York : Academic Press, 1982.Google ScholarGoogle Scholar
  22. D. M. Green and J. A. Swets, Signal detection theory and psychophysics. New York : Wiley, 1966.Google ScholarGoogle Scholar
  23. D. K. McClish, "Analyzing a portion of the roc curve" Medical Decision Making, vol. 9, no. 3, pp. 190--195, 1989.Google ScholarGoogle ScholarCross RefCross Ref
  24. L. E. Dodd and M. S. Pepe, "Partial auc estimation and regression," Biometrics, vol. 59, no. 3, pp. 614--623, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  25. N. Japkowicz and S. Stephen, "The class imbalance problem: A systematic study," Intelligent data analysis, vol. 6, no. 5, pp. 429--449, 2002. Google ScholarGoogle ScholarCross RefCross Ref
  26. M. Kubat and S. Mattwin, "Addressing the curse of imbalanced training sets: Onesided selection," in International Conference on Machine Learning (ICML), 1997, pp. 179--186.Google ScholarGoogle Scholar
  27. M. Buda, A. Maki, and M. A. Mazurowski, "A systematic study of the class imbalance problem in convolutional neural networks," Neural Networks, vol. 106, pp. 249--259, 2018.Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. N. V. Chawla, K. W. Bowyer, L. O. Hall, and W. P. Kegelmeyer, "Smote: synthetic minority over-sampling technique," Journal of Artificial Intelligence Research, vol. 16, pp. 321--357, 2002. Google ScholarGoogle ScholarCross RefCross Ref
  29. H. Han, W.-Y. Wang, and B.-H. Mao, "Borderline-smote: a new over-sampling method in imbalanced datasets learning," in International Conference on Intelligent Computing, 2005, pp. 878--887. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. T. Jo and N. Japkowicz, "Class imbalances versus small disjuncts," ACM Sigkdd Explorations Newsletter, vol. 6, no. 1, pp. 40--49, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. H. Yang, L. Luo, J. Su, C. Lin, and B. Yu, "Imbalance aware lithography hotspot detection: A deep learning approach," in SPIE Advanced Lithography, vol. 10148, 2017.Google ScholarGoogle Scholar
  32. Y. Lin, M. Li, Y. Watanabe, T. Kimura, T. Matsunawa, S. Nojima, and D. Z. Pan, "Data efficient lithography modeling with transfer learning and active data selection," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018.Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. C. Elkan, "The foundations of cost-sensitive learning," in International Joint Conference on Artificial Intelligence (IJCAI), 2001, pp. 973--978. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. G. M. Weiss, "Mining with rarity: a unifying framework," ACM Sigkdd Explorations Newsletter, vol. 6, no. 1, pp. 7--19, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Y.-A. Chung, H.-T. Lin, and S.-W. Yang, "Cost-aware pre-training for multiclass cost-sensitive deep learning," in International Joint Conference on Artificial Intelligence (IJCAI), 2016, pp. 1411--1417. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. S. H. Khan, M. Hayat, M. Bennamoun, F. A. Sohel, and R. Togneri, "Cost-sensitive learning of deep feature representations from imbalanced data," IEEE Transactions on Neural Networks and Learning Systems, vol. 29, no. 8, pp. 3573--3587, 2018.Google ScholarGoogle ScholarCross RefCross Ref
  37. S. Wang, W. Liu, J. Wu, L. Cao, Q. Meng, and P. J. Kennedy, "Training deep neural networks on imbalanced data sets," in International Joint Conference on Neural Networks (IJCNN), 2016, pp. 4368--4374.Google ScholarGoogle Scholar
  38. M. Havaei, A. Davy, D. Warde-Farley, A. Biard, A. Courville, Y. Bengio, C. Pal, P.-M. Jodoin, and H. Larochelle, "Brain tumor segmentation with deep neural networks," Medical image analysis, vol. 35, pp. 18--31, 2017.Google ScholarGoogle ScholarCross RefCross Ref
  39. C. M. Bishop et al., Pattern Recognition and Machine Learning. Springer New York, 2006, vol. 4, no. 4. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. H. B. Mannand D. R. Whitney, "On a test of whether one of two random variables is stochastically larger than the other," Ann. Math. Statist., vol. 18, no. 1, pp. 50--60, 1947.Google ScholarGoogle ScholarCross RefCross Ref
  41. F. Wilcoxon, "Individual comparisons by ranking methods," Biometrics bulletin, vol. 1, no. 6, pp. 80--83, 1945.Google ScholarGoogle ScholarCross RefCross Ref
  42. J. A. Hanley and B. J. McNeil, "The meaning and use of the area under a receiver operating characteristic (roc) curve." Radiology, vol. 143, no. 1, pp. 29--36, 1982.Google ScholarGoogle ScholarCross RefCross Ref
  43. S. Wu, P. Flach, and C. Ferri, "An improved model selection heuristic for auc," in European Conference on Machine Learning, 2007, pp. 478--489. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. W. Gao, R. Jin, S. Zhu, and Z.-H. Zhou, "One-pass auc optimization," in International Conference on Machine Learning (ICML), 2013, pp. III-906--III-914. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. Y. Ding, P. Zhao, S. C. H. Hoi, and Y.-S. Ong, "An adaptive gradient method for online auc maximization," in AAAI Conference on Artificial Intelligence, 2015, pp. 2568--2574. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. H. Steck, "Hinge rank loss and the area under the roc curve," in European Conference on Machine Learning. Springer Berlin Heidelberg, 2007, pp. 347--358. Google ScholarGoogle ScholarDigital LibraryDigital Library
  47. P. Zhao, S. C. H. Hoi, R. Jin, and T. Yang, "Online auc maximization," in International Conference on Machine Learning (ICML), 2011, pp. 233--240. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. C. Rudin and R. E. Schapire, "Margin-based ranking and an equivalence between adaboost and rankboost," Journal of Machine Learning Research, vol. 10, no. Oct, pp. 2193--2232, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. L. Yan, R. H. Dodier, M. Mozer, and R. H. Wolniewicz, "Optimizing classifier performance via an approximation to the wilcoxon-mann-whitney statistic," in International Conference on Machine Learning (ICML), 2003, pp. 848--855. Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. I. J. Good, "Rational decisions," Journal of the Royal Statistical Society. Series B (Methodological), pp. 107--114, 1952.Google ScholarGoogle ScholarCross RefCross Ref
  51. M. Abadi, P. Barham, J. Chen, Z. Chen, A. Davis, J. Dean, M. Devin, S. Ghemawat, G. Irving, M. Isard et al., "Tensorflow: a system for large-scale machine learning" in USENIX Symposium on Operating Systems Design and Implementation (OSDI), vol. 16, 2016, pp. 265--283. Google ScholarGoogle ScholarDigital LibraryDigital Library
  52. A. J. Torres, "ICCAD-2012 CAD contest in fuzzy pattern matching for physical verification and benchmark suite," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    ASPDAC '19: Proceedings of the 24th Asia and South Pacific Design Automation Conference
    January 2019
    794 pages
    ISBN:9781450360074
    DOI:10.1145/3287624

    Copyright © 2019 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 21 January 2019

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    Overall Acceptance Rate466of1,454submissions,32%

    Upcoming Conference

    ASPDAC '25

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader