skip to main content
10.1145/3299902.3311069acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Basic and Advanced Researches in Logic Synthesis and their Industrial Contributions

Published:04 April 2019Publication History

ABSTRACT

We first present historical view on the techniques for two-level and multi-level logic optimizations, and discuss the practical issues with respect to them. Then the techniques for sequential optimizations are briefly reviewed. Based on them, a new approach which formulates ECO (Engineering Change Order) as partial logic synthesis is discussed. Finally a new formulation of an automatic generation of parallel/distributed computing from sequential one is introduced with an application example.

References

  1. Rajeev Alur, Dana Fisman, Rishabh Singh, and Armando Solar-Lezama. 2017. SyGuS-Comp17: Results and Analysis. In Proceedings of 4th Workshop on Synthesis (SYNT@CAV).Google ScholarGoogle Scholar
  2. Rajeev Alur, Rishabh Singh, Dana Fisman, and Armando Solar-Lezama. 2018. Search-Based Program Synthesis. In Communications of the ACM, Vol. 61, 12 (2018). Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Karen A. Bartlett, Robert K. Brayton, Gary D. Hachtel, Reily M. Jacoby, Christopher R. Morrison, Richard L. Rudell, Alberto L. Sangiovanni-Vincentelli, and Albert R. Wang. 1988. Multi-level logic minimization using implicit don't cares. IEEE Transaction on CAD of Integrated Circuits and Systems, Vol. 7, 6 (1988), 723--740. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Robert K. Brayton and Alan Mishchenko. 2010. ABC: An Academic Industrial-Strength Verification Tool. In Proceedings of the 22nd International Conference on Computer Aided Verification. 24--40. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Robert K. Brayton, Richard L. Rudell, Alberto L. Sangiovanni-Vincentelli, and Albert R. Wang. 1987. MIS: A Multiple-Level Logic Optimization System. IEEE Transaction on CAD of Integrated Circuits and System, Vol. 6, 6 (1987), 1062--1081. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Robert King Brayton, Alberto L. Sangiovanni-Vincentelli, Curtis T. McMullen, and Gary D. Hachtel. 1997. Logic Minimization Algorithms for VLSI Synthesis. Kluwer Academic Publishers Norwell, MA, USA.Google ScholarGoogle Scholar
  7. Olivier Coudert and Jean Christophe Madre. 1992. Implicit and Incremental Computation of Primes and Essential Primes of Boolean Functions. In Proceedings of the 29th International Design Automation Conference . 36--39. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Masahiro Fujita. 2015. Toward Unification of Synthesis and Verification in Topologically Constrained Logic Design. Proc. IEEE, Vol. 103, 11 (2015), 2052--2060.Google ScholarGoogle ScholarCross RefCross Ref
  9. Masahiro Fujita, Satoshi Jo, Shohei Ono, and Takeshi Matsumoto. 2013. Partial synthesis through sampling with and without specification. In Proceedings of International Conference on Computer Aided Design. 787--794. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Masahiro Fujita and Alan Mishchenko. 2014. Efficient SAT-based ATPG techniques for all multiple stuck-at faults. In Proceedings of International Test Conference. 1--10.Google ScholarGoogle ScholarCross RefCross Ref
  11. Masahiro Fujita, Naoki Taguchi, Kentaro Iwata, and Alan Mishchenko. 2015. Incremental ATPG methods for multiple faults under multiple fault models. In Proceedings of International Symposium on Quality Electronic Design . Santa Clara, USA, 177--180.Google ScholarGoogle ScholarCross RefCross Ref
  12. Yusuke Matsunaga and Masahiro Fujita. 1989. Multi-level logic optimization using binary decision diagrams. In Proceedings of International Conference on Computer Aided Design. 556--559.Google ScholarGoogle ScholarCross RefCross Ref
  13. Edward J. McCluskey. 1956. Minimization of Boolean Functions. Bell System Technical Journal, Vol. 35, 6 (1956), 1417--1444.Google ScholarGoogle ScholarCross RefCross Ref
  14. Patrick McGeer, Jagesh Sanghavi, Robert Brayton, and Alberto Sangiovanni Vincentelli. 1993. Espresso-signature: a new exact minimizer for logic functions. In Proceedings of the 30th international Design Automation Conference. Dallas, Texas, 618--624. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Yukio Miyasaka, Ashish Mittal, and Masahiro Fujita. 2019. Synthesis of Algorithm Considering Communication Structure of Distributed/Parallel Computing. In Proceedings of International Symposium on Quality Electronic Design. Santa Clara, USA.Google ScholarGoogle ScholarCross RefCross Ref
  16. Willard Van Orman Quine. 1955. A Way to Simplify Truth Functions. The American Mathematical Monthly, Vol. 62, 9 (1955), 627--631.Google ScholarGoogle ScholarCross RefCross Ref
  17. E.M. Sentovich, K.J. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P.R. Stephan, Robert K. Brayton, and Alberto L. Sangiovanni-Vincentelli. 1992. SIS: A System for Sequential Circuit Synthesis. Technical Report UCB/ERL M92/41. EECS Department, University of California, Berkeley. http://www2.eecs.berkeley.edu/Pubs/TechRpts/1992/2010.htmlGoogle ScholarGoogle Scholar
  18. Tiziano Villa, Timothy Kam, Robert King Brayton, and Alberto L. Sangiovanni-Vincentelli. 1984. Synthesis of Finite State Machines Logic Optimization .Kluwer Academic Publishers Norwell, MA, USA.Google ScholarGoogle Scholar
  19. Peikun Wang, Amir Masoud Gharehbaghi, and Masahiro Fujita. 2019. An Incremental Automatic Test Pattern Generation Method for Multiple Stuck-at Faults. In Proceedings of IEEE VLSI Test Symposium. Monterey, USA.Google ScholarGoogle Scholar

Index Terms

  1. Basic and Advanced Researches in Logic Synthesis and their Industrial Contributions

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISPD '19: Proceedings of the 2019 International Symposium on Physical Design
      April 2019
      164 pages
      ISBN:9781450362535
      DOI:10.1145/3299902

      Copyright © 2019 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 4 April 2019

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      ISPD '19 Paper Acceptance Rate12of25submissions,48%Overall Acceptance Rate62of172submissions,36%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader