skip to main content
10.1145/3337821.3337830acmotherconferencesArticle/Chapter ViewAbstractPublication PagesicppConference Proceedingsconference-collections
research-article

The Case for Water-Immersion Computer Boards

Authors Info & Claims
Published:05 August 2019Publication History

ABSTRACT

A key concern for a high-power processor is heat dissipation, which limits the power, and thus the operating frequencies, of chips so as not to exceed some temperature threshold. In particular, 3-D chip integration will further increase power density, thus requiring more efficient cooling technology. While air, fluorinert and mineral oil have been traditionally used as coolants, in this study, we propose to directly use tap or natural water due to its superior thermal conductivity. We have developed the "in-water computer" prototypes that rely on a parylene film insulation coating. Our prototypes can support direct water-immersion cooling by taking and draining natural water, while existing cooling requires the secondary coolant (e.g. outside air in cold climates) for cooling the primary coolants that contact chips. Our prototypes successfully reduce by 20 degrees the chip temperature of commodity processor chips. Our analysis results show that the in-water cooling increases the acceptable amount of power density of chips, thus achieving higher operating frequencies of chips. Through a full-system simulation, our results show that the water-immersion chip multiprocessors outperform the counterpart water-pipe cooled and oil-immersion chips by up to 14% and 4.5%, respectively, in terms of execution times of NAS Parallel Benchmarks.

References

  1. A Sridhar, A Vincenzi, M Ruggiero, T Brunschwiler, D Atienza. 2010. 3D-ICE: Fast compact transient thermal modeling for 3D-ICs with inter-tier liquid cooling. In Proceedings of the International Conference on Computer-Aided Design. 463--470. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Alex Kampl. 2014. Bitcoin 2-Phase Immersion Cooling and the Implications for High Performance Computing. Electronics Cooling Magazine (March 2014), 24--29.Google ScholarGoogle Scholar
  3. ANSYS CFX. 2019. https://www.ansys.com/products/fluids/ansys-cfx.Google ScholarGoogle Scholar
  4. N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood. 2011. The gem5 Simulator. ACM SIGARCH Computer Architecture News 39, 2 (May 2011), 1--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. D. Brooks and M. Martonosi. 2001. Dynamic Thermal Management for High-Performance Microprocessors. In International Symposium on High-Performance Computer Architecture (HPCA). 171--182. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. G. Chen, J. Kuang, Z. Zeng, H. Zhang, E. F. Y. Young, and B. Yu. 2017. Minimizing Thermal Gradient and Pumping Power in 3D IC Liquid Cooling Network Design. In Design Automation Conference (DAC). 70:1--70:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. Cong, J. Wei, and Y. Zhang. 2004. A Thermal-driven Floorplanning Algorithm for 3D ICs. In IEEE/ACM International Conference on Computer-aided Design (ICCAD). 306--313. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. K. Coskun, T. S. Rosing, and K. Whisnant. 2007. Temperature aware task scheduling in MPSoCs. In Design, Automation and Test in Europe Conference and Exposition (DATE). 1659--1664. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. T. Endo, A. Nukada, and S. Matsuoka. 2014. TSUBAME-KFC: A modern liquid submersion cooling prototype towards exascale becoming the greenest supercomputer in the world. In 20th IEEE International Conference on Parallel and Distributed Systems (ICPADS). 360--367.Google ScholarGoogle Scholar
  10. FloTHERM, Electronics thermal analysis software, Mentor Graphics. 2018. https://www.mentor.com/products/mechanical/flotherm/flotherm/.Google ScholarGoogle Scholar
  11. A. Fourmigue, G. Beltrame, and G. Nicolescu. 2014. Efficient transient thermal simulation of 3D ICs with liquid-cooling and through silicon vias. In Design, Automation & Test in Europe Conference & Exhibition(DATE). 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Green Revolution Cooling, white paper. 2015. Oil Submersion Cooling for Today's Data Centers. http://www.grcooling.com/wp-content/uploads/2015/06/GRC_WP-CLICK-Oil_Sub_DCc.pdf.Google ScholarGoogle Scholar
  13. T. Hanada, H. Sasaki, K. Inoue, and K. Murakami. 2011. Performance evaluation of 3D stacked multi-core processors with temperature consideration. In IEEE International 3D Systems Integration Conference (3DIC). 1--5.Google ScholarGoogle Scholar
  14. International Roadmap for Devices and Systems (IRDS). 2019. https://irds.ieee.org/.Google ScholarGoogle Scholar
  15. D.-C. Juan, S. Garg, and D. Marculescu. 2011. Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations. In Design, Automation and Test in Europe, DATE. 383--388.Google ScholarGoogle Scholar
  16. T. Kagami, H. Matsutani, M. Koibuchi, Y. Take, T. Kuroda, and H. Amano. 2016. Efficient 3-D Bus Architectures for Inductive-Coupling ThruChip Interfaces. IEEE Trans. VLSI Syst. 24, 2 (2016), 493--506.Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. KISCO Ltd., diX Coating. 2014. http://www.kisco-net.com/business/dix-coating/.Google ScholarGoogle Scholar
  18. R.W. Knight, D.J. Hall, J.S. Goodling, and R.C. Jaeger. 1992. Heat sink optimization with application to microchannel. IEEE Transactions on Components, Hybrids, and Manufacturing Technology 15, 5 (1992), 832--842.Google ScholarGoogle ScholarCross RefCross Ref
  19. J.M. Koo, S. Im, L. Jiang, and K.E. Goodson. 2005. Integrated Microchannel Cooling for Three-Dimensional Circuit Architectures. ASME Journal of Heat Transfer 127 (2005), 49--58.Google ScholarGoogle ScholarCross RefCross Ref
  20. S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D.M. Tullsen, and N. P. Jouppi. 2009. McPAT: An Integrated Power, Area, and Timing Modeling Framework for M ulticore and Manycore Architectures. In the IEEE/ACM International Symposium on Microarchitecture. 469--480. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. M. Matsuda and K.Mashiko and Y. Saito and T. Nguyen and T. Nguyen. 2015. Micro-channel Cold Plate Units for Cooling Super Computer. Fujikura Technical Review 44 (March 2015), 53--57.Google ScholarGoogle Scholar
  22. Microsoft, Project Natick. 2018. http://natick.research.microsoft.com/.Google ScholarGoogle Scholar
  23. National Institute of Advanced Industrial Science and Technology (AIST), AI Bridging Cloud Infrastructure (ABCI). 2019. https://abci.ai/.Google ScholarGoogle Scholar
  24. R. Zhang and M. R. Stan and K. Skadron. 2015. HotSpot 6.0: Validation, Acceleration and Extension. University of Virginia, Tech. Report CS-2015-04.Google ScholarGoogle Scholar
  25. S. K. Roy, S. Mandal, C. Giri, and H. Rahaman. 2015. A thermal estimation model for 3D IC using liquid cooled microchannels and thermal TSVs. In IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC. 122--127.Google ScholarGoogle Scholar
  26. S. Zimmermann and I. Meijer and M. K. Tiwari and S. Paredes and B. Michel and D. Poulikakos. 2012. Aquasar: A hot water cooled data center with direct energy reuse. ENERGY 43 (July 2012), 237--245. Issue 1.Google ScholarGoogle Scholar
  27. K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. 2003. Temperature-Aware Microarchitecture. In International Symposium on Computer Architecture (ISCA). 2--13. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. A. Sridhar, M. M. Sabry, and D. Atienza. 2014. A Semi-Analytical Thermal Modeling Framework for Liquid-Cooled ICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 33, 8 (Aug 2014), 1145--1158.Google ScholarGoogle ScholarCross RefCross Ref
  29. Swiss National Supercomputing Centre. 2019. https://www.cscs.ch/publications/fact-sheets/lake-water-to-cool-supercomputers/.Google ScholarGoogle Scholar
  30. T. Totoki, HotSpot-6.0 Extension. 2019. https://github.com/KoibuchiLab/HotSpot-6.0-3D-API.Google ScholarGoogle Scholar
  31. D.B. Tuckerman and R.F.W. Pease. 1981. High-performance heat sinking for VLSI. IEEE Electron Device Letters EDL-2, 5 (1981), 126--129.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. The Case for Water-Immersion Computer Boards

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Other conferences
        ICPP '19: Proceedings of the 48th International Conference on Parallel Processing
        August 2019
        1107 pages
        ISBN:9781450362955
        DOI:10.1145/3337821

        Copyright © 2019 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 5 August 2019

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed limited

        Acceptance Rates

        Overall Acceptance Rate91of313submissions,29%
      • Article Metrics

        • Downloads (Last 12 months)6
        • Downloads (Last 6 weeks)0

        Other Metrics

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader