skip to main content
10.1145/3380446.3430640acmconferencesArticle/Chapter ViewAbstractPublication PagesmlcadConference Proceedingsconference-collections
research-article

An Efficient and Flexible Learning Framework for Dynamic Power and Thermal Co-Management

Published:16 November 2020Publication History

ABSTRACT

At the era of Artificial Intelligence and Internet of Things (AIoT), battery-powered mobile devices are required to perform more sophisticated tasks featured with fast varying workloads and constrained power supply, demanding more efficient run-time power management. In this paper, we propose a deep reinforcement learning framework for dynamic power and thermal co-management. We build several machine learning models that incorporate the physical details for an ARM Cortex-A72, with on average 3% and 1% error for power and temperature predictions, respectively. We then build an efficient deep reinforcement learning control incorporating the machine learning models and facilitating the run-time dynamic voltage and frequency scaling (DVFS) strategy selection based on the predicted power, workloads and temperature. We evaluate our proposed framework, and compare the performance with existing management methods. The results suggest that our proposed framework can achieve 6.8% performance improvement compared with other alternatives.

Skip Supplemental Material Section

Supplemental Material

3380446.3430640.mp4

mp4

88.6 MB

References

  1. H. Huang et al. Autonomous power management with double-q reinforcement learning method. IEEE TII, 16(3):1938--1946, 2020.Google ScholarGoogle Scholar
  2. Shervin Hajiamini et al. A dynamic programming framework for dvfs-based energy-efficiency in multicore systems. IEEE TSC, 5(1):1--12, 2020.Google ScholarGoogle Scholar
  3. Camé lia Slimani et al. Hymad: a hybrid memory-aware DVFS strategy. ACM SIGBED Review, 16(3):45--50, 2019. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. C. Zhuo et al. Noise-aware dvfs for efficient transitions on battery-powered iot devices. IEEE TCAD, 39(7):1498--1510, 2020.Google ScholarGoogle Scholar
  5. U. R. Tida et al. Dynamic frequency scaling aware opportunistic through-silicon-via inductor utilization in resonant clocking. IEEE TCAD, 39(2):281--293, 2020.Google ScholarGoogle Scholar
  6. J. Charles et al. Evaluation of the intel® core? i7 turbo boost feature. In Proc. IISWC, pages 188--197, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Dimitrios Chasapis et al. Power efficient job scheduling by predicting the impact of processor manufacturing variability. In Proc. ICS, pages 296--307, 2019. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Deng et al. Energy-efficient real-time uav object detection on embedded platforms. IEEE TCAD, 39(10):3123--3127, 2020.Google ScholarGoogle Scholar
  9. Zhongyang Liu et al. A multi-level-optimization framework for fpga-based cellular neural network implementation. ACM JETCS, 14(4):1--17, 2018. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Chi-Hsien Pao et al. XGBIR: an xgboost-based IR drop predictor for power delivery network. In Proc. DATE, pages 1307--1310, 2020. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Ganapati Bhat et al. Algorithmic optimization of thermal and power management for heterogeneous mobile platforms. IEEE TVLSI, 26(3):544--557, 2018. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Somdip Dey et al. P-edgecoolingmode: an agent-based performance aware thermal management unit for DVFS enabled heterogeneous mpsocs. IET CDT, 13(6):514--523, 2019.Google ScholarGoogle Scholar
  13. C. Zhuo et al. From layout to system: Early stage power delivery and architecture co-exploration. IEEE TCAD, 39(7):1291--1304, 2019.Google ScholarGoogle Scholar
  14. Canturk Isci et al. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In Proc. MICRO, pages 347--358, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. P. Meng et al. Multi-optimization power management for chip multiprocessors. In Proc. PACT, pages 177--186, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Matthew Walker et al. Accurate and stable empirical cpu power modelling for multi-and many-core systems. 2018.Google ScholarGoogle Scholar
  17. Efraim Rotem et al. Power and thermal constraints of modern system-on-a-chip computer. In Proc. THERMINIC, pages 141--146, 2013.Google ScholarGoogle Scholar
  18. Longyang Lin et al. Integrated power management for battery-indifferent systems with ultra-wide adaptation down to nw. IEEE JSSC, 55(4):967--976, 2020.Google ScholarGoogle Scholar
  19. R. Teodorescu et al. Variation-aware application scheduling and power management for chip multiprocessors. ACM SIGARCH CAN, 36(3):363--374, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Volodymyr Mnih et al. Human-level control through deep reinforcement learning. Nature, 518(7540):529--533, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  21. Nathan Binkert et al. The gem5 simulator. ACM SIGARCH CAN, 39(2):1--7, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Sheng Li et al. Mcpat: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proc. MICRO, pages 469--480., 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Wei Huang et al. Hotspot: A compact thermal modeling methodology for early-stage VLSI design. IEEE TVLSI, 14(5):501--513, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Jó akim von Kistowski et al. Analysis of the influences on server power consumption and energy efficiency for cpu-intensive workloads. In Proc. ICPE, pages 223--234, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Raid Ayoub and Tajana Rosing. Cool and save: cooling aware dynamic workload scheduling in multi-socket CPU systems. In Proc. ASP-DAC, pages 891--896., 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. John Henning. SPEC CPU2006 benchmark descriptions. ACM SIGARCH CAN, 34(4):1--17, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Kevin Skadron et al. Temperature-aware microarchitecture: Modeling and implementation. IEEE TACO, 1(1):94--125, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Shervin Sharifi and Tajana Simunic Rosing. Accurate direct and indirect on-chip temperature sensing for efficient dynamic thermal management. IEEE TCAD, 29(10):1586--1599, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. J. Millard and Ludwik Kurz. The kolmogorov-smirnov tests in signal detection (corresp.). IEEE TIT, 13(2):341--342, 1967. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Ben Krö se. Learning from delayed rewards. Robotics Auton. Syst., 15(4):233--235, 1995.Google ScholarGoogle ScholarCross RefCross Ref
  31. Hado van Hasselt et al. Deep reinforcement learning with double q-learning. CoRR, abs/1509.06461, 2015.Google ScholarGoogle Scholar
  32. Xusheng Zhan et al. PARSEC3.0: A multicore benchmark suite with network stacks and SPLASH-2X. ACM SIGARCH CAN, 44(5):1--16, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Ana Madureira et al. Negotiation mechanism for self-organized scheduling system with collective intelligence. Neurocomputing, 132:97--110, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Venkatesh Pallipadi and Alexey Starikovskiy. The ondemand governor. In Proceedings of the Linux Symposium, 2(00216):215--230, 2006.Google ScholarGoogle Scholar

Index Terms

  1. An Efficient and Flexible Learning Framework for Dynamic Power and Thermal Co-Management

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          MLCAD '20: Proceedings of the 2020 ACM/IEEE Workshop on Machine Learning for CAD
          November 2020
          183 pages
          ISBN:9781450375191
          DOI:10.1145/3380446

          Copyright © 2020 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 16 November 2020

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Upcoming Conference

          MLCAD '24
          2024 ACM/IEEE International Symposium on Machine Learning for CAD
          September 9 - 11, 2024
          Salt Lake City , UT , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader