skip to main content
10.1145/3400302.3415691acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

DREAMPlace 3.0: multi-electrostatics based robust VLSI placement with region constraints

Published:17 December 2020Publication History

ABSTRACT

Placement is a critical step for modern very-large-scale integrated (VLSI) design closure. Recently, electrostatics-based analytical placement frameworks (ePlace) demonstrate promising performance in both solution quality and runtime. However, existing ePlace-based placers fail to meet the versatility and robustness requirements on various placement workloads. We propose a versatile and robust placer to solve region-constrained placement problems with better solution quality and faster convergence. We formulate the region-constrained placement problem into a multi-electrostatics system via virtual blockage insertion and field isolation. To achieve robust wirelength minimization with aggressive density constraints, we adopt self-adaptive quadratic density penalty and entropy injection techniques to automatically accelerate and stabilize the nonlinear optimization. Our experiments on ISPD 2015 benchmarks with region constraints demonstrate an average of >13% HPWL improvement and >11% top5 overflow improvement compared with advanced region-aware placers Eh?Placer and NTUplace4dr. Our robustness-boost techniques show an average of ~1% and ~10% improvement in HPWL and runtime compared to DREAMPlace on ICCAD 2014 and ISPD 2019 benchmark suites.

References

  1. I. S. Bustany, D. Chinnery, J. R. Shinnerl, and V. Yutsis, "ISPD 2015 Benchmarks with Fence Regions and Routing Blockages for Detailed-Routing-Driven Placement," in Proc. ISPD, 2015, p. 157--164.Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. I. L. Markov, J. Hu, and M. Kim, "Progress and Challenges in VLSI Placement Research," Proceedings of the IEEE, vol. 103, no. 11, pp. 1985--2003, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  3. C. Huang, H. Lee, B. Lin, S. Yang, C. Chang, S. Chen, Y. Chang, T. Chen, and I. Bustany, "NTUplace4dr: A detailed-routing-driven placer for mixed-size circuit designs with technology and region constraints," IEEE TCAD, vol. 37, no. 3, pp. 669--681, 2018.Google ScholarGoogle ScholarCross RefCross Ref
  4. N. K. Darav, A. Kennings, A. F. Tabrizi, D. Westwick, and L. Behjat, "Eh?Placer: A high-performance modern technology-driven placer," ACM TODAES, vol. 21, no. 3, Apr. 2016.Google ScholarGoogle Scholar
  5. W. Chow, J. Kuang, P. Tu, and E. F. Y. Young, "Fence-aware detailed-routability driven placement," in Proc. SLIP, 2017, pp. 1--7.Google ScholarGoogle Scholar
  6. A. B. Kahng, S. Reda, and Q. Wang, "Architecture and details of a high quality, large-scale analytical placer," in Proc. ICCAD, 2005, pp. 891--898.Google ScholarGoogle Scholar
  7. T. Chan, J. Cong, and K. Sze, "Multilevel generalized force-directed method for circuit placement," in Proc. ISPD, 2005, pp. 185--192.Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. B. Kahng and Q. Wang, "A faster implementation of APlace," in Proc. ISPD, 2006, pp. 218--220.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang, "NTUplace3: An analytical placer for large-scale mixed-size designs with preplaced blocks and density constraints," IEEE TCAD, vol. 27, no. 7, pp. 1228--1240, 2008.Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. M.-K. Hsu, Y.-F. Chen, C.-C. Huang, S. Chou, T.-H. Lin, T.-C. Chen, and Y.-W. Chang, "NTUplace4h: A novel routability-driven placement algorithm for hierarchical mixed-size circuit designs," IEEE TCAD, vol. 33, no. 12, pp. 1914--1927, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  11. C. Cheng, A. B. Kahng, I. Kang, and L. Wang, "RePlAce: Advancing solution quality and routability validation in global placement," IEEE TCAD, vol. 38, no. 9, pp. 1717--1730, 2019.Google ScholarGoogle Scholar
  12. N. Viswanathan, M. Pan, and C. Chu, "FastPlace 3.0: A fast multilevel quadratic placement algorithm with placement congestion control," in Proc. ASPDAC, 2007, pp. 135--140.Google ScholarGoogle Scholar
  13. X. He, T. Huang, L. Xiao, H. Tian, and E. F. Y. Young, "Ripple: A robust and effective routability-driven placer," IEEE TCAD, vol. 32, no. 10, pp. 1546--1556, 2013.Google ScholarGoogle Scholar
  14. T. Lin, C. Chu, J. R. Shinnerl, I. Bustany, and I. Nedelchev, "POLAR: placement based on novel rough legalization and refinement," in Proc. ICCAD, 2013, pp. 357--362.Google ScholarGoogle ScholarCross RefCross Ref
  15. T. Lin, C. Chu, J. R. Shinnerl, I. Bustany, and I. Nedelchev, "POLAR: A high performance mixed-size wirelengh-driven placer with density constraints," IEEE TCAD, vol. 34, no. 3, pp. 447--459, 2015.Google ScholarGoogle Scholar
  16. M.-C. Kim, D.-J. Lee, and I. L. Markov, "SimPL: An effective placement algorithm," IEEE TCAD, vol. 31, no. 1, pp. 50--60, 2012.Google ScholarGoogle Scholar
  17. M.-C. Kim, N. Viswanathan, C. J. Alpert, I. L. Markov, and S. Ramji, "MAPLE: multilevel adaptive placement for mixed-size designs," in Proc. ISPD, 2012, pp. 193--200.Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. T. Chen, Z. Jiang, T. Hsu, H. Chen, and Y. Chang, "NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints," IEEE TCAD, vol. 27, no. 7, pp. 1228--1240, 2008.Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. J. Chen, L. Yang, Z. Peng, W. Zhu, and Y. Chang, "Novel proximal group ADMM for placement considering fogging and proximity effects," in Proc. ICCAD, 2018, p. 3.Google ScholarGoogle Scholar
  20. Z. Zhu, J. Chen, Z. Peng, W. Zhu, and Y. Chang, "Generalized augmented lagrangian and its applications to VLSI global placement," in Proc. DAC, 2018, pp. 149:1--149:6.Google ScholarGoogle Scholar
  21. W. Zhu, Z. Huang, J. Chen, and Y.-W. Chang, "Analytical solution of poisson's equation and its application to vlsi global placement," in Proc. ICCAD, 2018.Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. J. Lu, P. Chen, C. Chang, L. Sha, D. Huang, C. Teng, and C. Cheng, "ePlace: Electrostatics-Based Placement using Fast Fourier Transform and Nesterov's Method," ACM TODAES, vol. 20, no. 2, p. 17, 2015.Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. J. Lu, H. Zhuang, P. Chen, H. Chang, C. Chang, Y. Wong, L. Sha, D. Huang, Y. Luo, C. Teng, and C. Cheng, "eplace-ms: Electrostatics-based placement for mixed-size circuits," IEEE TCAD, vol. 34, no. 5, pp. 685--698, 2015.Google ScholarGoogle Scholar
  24. C. Cheng, A. B. Kahng, I. Kang, and L. Wang, "Replace: Advancing solution quality and routability validation in global placement," IEEE TCAD, vol. 38, no. 9, pp. 1717--1730, 2019.Google ScholarGoogle Scholar
  25. Y. Lin, S. Dhar, W. Li, H. Ren, B. Khailany, and D. Z. Pan, "DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement," in Proc. DAC, 2019.Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Y. Lin, Z. Jiang, J. Gu, W. Li, S. Dhar, H. Ren, B. Khailany, and D. Z. Pan, "DREAM-Place: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement," IEEE TCAD, pp. 1--1, 2020.Google ScholarGoogle Scholar
  27. S. Dolgov, A. Volkov, L. Wang, and B. Xu, "2019 CAD Contest: LEF/DEF Based Global Routing," in Proc. ICCAD, 2019, pp. 1--4.Google ScholarGoogle ScholarCross RefCross Ref
  28. M. Hsu, Y. Chang, and V. Balabanov, "TSV-aware analytical placement for 3D IC designs," in Proc. DAC, 2011, pp. 664--669.Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. W. Li, Y. Lin, and D. Z. Pan, "elfPlace: Electrostatics-based Placement for Large-Scale Heterogeneous FPGAs," in Proc. ICCAD, 2019, pp. 1--8.Google ScholarGoogle ScholarCross RefCross Ref
  30. P. Spindler, U. Schlichtmann, and F. M. Johannes, "Abacus: Fast legalization of standard cell circuits with minimal movement," in Proc. ISPD, 2008, p. 47--53.Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. C. Jin, R. Ge, P. Netrapalli, S. M. Kakade, and M. I. Jordan, "How to escape saddle points efficiently," in Proc. ICML, 2017, p. 1724--1732.Google ScholarGoogle Scholar
  32. K. Dai, W. Liu, and Y. Li, "NCTU-GR: Efficient simulated evolution-based rerouting and congestion-relaxed layer assignment on 3-d global routing," IEEE TVLSI, vol. 20, no. 3, pp. 459--472, 2012.Google ScholarGoogle Scholar
  33. M. Kim, J. Huj, and N. Viswanathan, "ICCAD-2014 CAD contest in incremental timing-driven placement and benchmark suite: Special session paper: CAD contest," in Proc. ICCAD, 2014, pp. 361--366.Google ScholarGoogle ScholarCross RefCross Ref
  34. Y. Lin, W. Li, J. Gu, H. Ren, B. Khailany, and D. Z. Pan, "ABCDPlace: Accelerated Batch-based Concurrent Detailed Placement on Multi-threaded CPUs and GPUs," IEEE TCAD, pp. 1--1, 2020.Google ScholarGoogle Scholar
  1. DREAMPlace 3.0: multi-electrostatics based robust VLSI placement with region constraints

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ICCAD '20: Proceedings of the 39th International Conference on Computer-Aided Design
      November 2020
      1396 pages
      ISBN:9781450380263
      DOI:10.1145/3400302
      • General Chair:
      • Yuan Xie

      Copyright © 2020 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 17 December 2020

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate457of1,762submissions,26%

      Upcoming Conference

      ICCAD '24
      IEEE/ACM International Conference on Computer-Aided Design
      October 27 - 31, 2024
      New York , NY , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader