skip to main content
research-article

Leakage-Aware Dynamic Thermal Management of 3D Memories

Authors Info & Claims
Published:23 October 2020Publication History
Skip Abstract Section

Abstract

3D memory systems offer several advantages in terms of area, bandwidth, and energy efficiency. However, thermal issues arising out of higher power densities have limited their widespread use. While prior works have looked at reducing dynamic power through reduced memory accesses, in these memories, both leakage and dynamic power consumption are comparable. Furthermore, as the temperature rises, the leakage power increases, creating a thermal-leakage loop. We study the impact of leakage power on 3D memory temperature and propose turning OFF specific memory channels to meet thermal constraints. Data is migrated to a 2D memory before closing a 3D channel. We introduce an analytical model to assess the 2D memory delay and use the model to guide data migration decisions. The above strategy is referred to as FastCool and provides an improvement of 22%, 19%, and 32% on average (up to 57%, 72%, and 82%) in performance, memory energy, and energy-delay product (EDP), respectively, on different workloads consisting of SPEC CPU2006 benchmarks.

We further propose a thermal management strategy named Energy-Efficient FastCool (EEFC), which improves upon FastCool by selecting the channels to be closed by considering temperature, leakage, access rate, and position of various 3D memory channels at runtime. Our experiments demonstrate that EEFC leads to an additional improvement of up to 30%, 30%, and 51% in performance, memory energy, and EDP compared to FastCool. Finally, we analyze the effects of process variations on the efficiency of the proposed FC and EEFC strategies. Variation in the manufacturing process causes changes in the leakage power and temperature profile. Since EEFC considers both while selecting channels for closure, it is more resilient to process variations and achieves a lower application execution time and memory energy compared to FastCool.

References

  1. ANSYS. 2013. ANSYS Icepak User’s Guide.Google ScholarGoogle Scholar
  2. Avram Bar-Cohen. 2014. Encyclopedia of Thermal Packaging, Set 2: Thermal Packaging Tools. World Scientific.Google ScholarGoogle Scholar
  3. David Brooks and Margaret Martonosi. 2001. Dynamic thermal management for high-performance microprocessors. In Proceedings of the HPCA 7th International Symposium on High-Performance Computer Architecture. IEEE, 171--182.Google ScholarGoogle ScholarCross RefCross Ref
  4. Andrea Calimera, Karthik Duraisami, A. Sathanur, Prassanna Sithambaram, R. Iris Bahar, Alberto Macii, Enrico Macii, and Massimo Poncino. 2008. Thermal-aware design techniques for nanometer CMOS circuits. Journal of Low Power Electronics (JOLPE) 4, 3 (2008), 374--384.Google ScholarGoogle ScholarCross RefCross Ref
  5. Trevor E. Carlson, Wim Heirman, Stijn Eyerman, Ibrahim Hur, and Lieven Eeckhout. 2014. An evaluation of high-level mechanistic core models. ACM Transactions on Architecture and Code Optimization (TACO), (2014), Article 5, 23 pages. DOI:https://doi.org/10.1145/2629677Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Karthik Chandrasekar, Sven Goossens, Christian Weis, Martijn Koedam, Benny Akesson, Norbert Wehn, and Kees Goossens. 2014. Exploiting expendable process-margins in DRAMs for run-time performance optimization. In Design, Automation 8 Test in Europe Conference (DATE’14). European Design and Automation Association, 173.Google ScholarGoogle Scholar
  7. Karthik Chandrasekar, Christian Weis, Benny Akesson, Norbert Wehn, and Kees Goossens. 2013. Towards variation-aware system-level power estimation of DRAMs: An empirical approach. In Design Automation Conference (DAC’13). ACM, 23.Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Ke Chen, Sheng Li, Naveen Muralimanohar, Jung Ho Ahn, Jay B. Brockman, and Norman P. Jouppi. 2012. CACTI-3DD: Architecture-level modeling for 3D die-stacked DRAM main memory. In Design, Automation 8 Test in Europe Conference (DATE’12). EDA Consortium, 33--38.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Hybrid Memory Cube Consortium. 2015. HMC Specification 2.1.Google ScholarGoogle Scholar
  10. Ayse Kivilcim Coskun, Tajana Simunic Rosing, and Kenny C. Gross. 2008. Proactive temperature management in MPSoCs. In International Symposium on Low Power Electronics and Design (ISLPED’08). ACM, 165--170.Google ScholarGoogle Scholar
  11. Perceval Coudrain, Papa Momar Souare, Rafael Prieto, Vincent Fiori, Alexis Farcy, Laurent Le Pailleur, Jean-Philippe Colonna, Cristiano Santos, Pascal Vivet, Haykel Ben-Jamaa, et al. 2016. Experimental insights into thermal dissipation in TSV-Based 3D integrated circuits. Design 8 Test1 (2016), 1--1.Google ScholarGoogle Scholar
  12. David Cuesta, José L. Risco-Martín, José L. Ayala, and J. Ignacio Hidalgo. 2015. Thermal-aware floorplanner for 3D IC, including TSVs, liquid microchannels and thermal domains optimization. Applied Soft Computing 34, C (Sept. 2015), 164--177.Google ScholarGoogle Scholar
  13. Kapil Dev, Gary Woods, and Sherief Reda. 2013. High-throughput TSV testing and characterization for 3D integration using thermal mapping. In 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC’13). IEEE, 1--6.Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Karthik Elangovan, Ivan Rodero, Manish Parashar, Francesc Guim, and Isaac Hernandez. 2011. Adaptive memory power management techniques for HPC workloads. In Proceedings of the 2011 18th International Conference on High Performance Computing. IEEE, 1--11.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Saugata Ghose, Abdullah Giray Yaglikçi, Raghav Gupta, Donghyuk Lee, Kais Kudrolli, William X. Liu, Hasan Hassan, Kevin K. Chang, Niladrish Chatterjee, Aditya Agrawal, et al. 2018. What your DRAM power models are not telling you: Lessons from a detailed experimental study. ACM on Measurement and Analysis of Computing Systems (SIGMETRICS) 2, 3 (2018), 38.Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Mohammad Hossein Hajkazemi, Mohammad Khavari Tavana, Tinoosh Mohsenin, and Houman Homayoun. 2017. Heterogeneous HMC+DDRx memory management for performance-temperature tradeoffs. ACM Journal on Emerging Technologies in Computing Systems (JETC) 14, 1, (Sept. 2017), Article 4, 21 pages. DOI:https://doi.org/10.1145/3106233Google ScholarGoogle Scholar
  17. F. Hameed, M. A. A. Faruque, and J. Henkel. 2011. Dynamic thermal management in 3D multi-core architecture through run-time adaptation. In Design, Automation 8 Test in Europe Conference (DATE’11). 1--6. DOI:https://doi.org/10.1109/DATE.2011.5763053Google ScholarGoogle Scholar
  18. Hai Huang, Kang G. Shin, Charles Lefurgy, and Tom Keller. 2005. Improving energy efficiency by making DRAM less randomly accessed. In International Symposium on Low Power Electronics and Design (ISLPED’05). ACM, 393--398.Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Bruce Jacob. 2009. The memory system: You can’t avoid it, you can’t ignore it, you can’t fake it. Synthesis Lectures on Computer Architecture 4, 1 (2009), 1--77.Google ScholarGoogle ScholarCross RefCross Ref
  20. Joe Jeddeloh and Brent Keeth. 2012. Hybrid memory cube new DRAM architecture increases density and performance. In Symposium on VLSI Technology (VLSIT’12). IEEE, 87--88.Google ScholarGoogle ScholarCross RefCross Ref
  21. Da-Cheng Juan, Siddharth Garg, and Diana Marculescu. 2014. Statistical peak temperature prediction and thermal yield improvement for 3D chip multiprocessors. ACM Transactions on Design Automation of Electronic Systems (TODAES) 19, 4 (2014), 39.Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Dhireesha Kudithipudi, Qinru Qu, and Ayse K. Coskun. 2013. Thermal management in many core systems. In Evolutionary Based Solutions for Green Computing. Springer, 161--185.Google ScholarGoogle Scholar
  23. Sumeet S. Kumar, Amir Zjajo, and Rene van Leuken. 2017. Fighting dark silicon: Toward realizing efficient thermal-aware 3-D stacked multiprocessors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25, 4 (2017), 1549--1562.Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Benoit Lasbouygues, Robin Wilson, Nadine Azemard, and Philippe Maurine. 2007. Temperature-and voltage-aware timing analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 26, 4 (2007), 801--815.Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Hyun-Woo Lee, Ki-Han Kim, Young-Kyoung Choi, Ju-Hwan Sohn, Nak-Kyu Park, Kwan-Weon Kim, Chulwoo Kim, Young-Jung Choi, and Byong-Tae Chung. 2011. A 1.6V 1.4 Gbp/s/pin consumer DRAM with self-dynamic voltage scaling technique in 44nm CMOS technology. IEEE Journal of Solid-State Circuits (JSSC) 47, 1 (2011), 131--140.Google ScholarGoogle ScholarCross RefCross Ref
  26. Chien-Hui Liao, Charles H-P. Wen, and Krishnendu Chakrabarty. 2015. An online thermal-constrained task scheduler for 3D multi-core processors. In Design, Automation 8 Test in Europe Conference (DATE’15). 351--356.Google ScholarGoogle Scholar
  27. Weiping Liao, Lei He, and Kevin Lepak. 2004. Temperature-Aware Performance and Power M. Technical Report 04-250. UCLA Engr. Citeseer.Google ScholarGoogle Scholar
  28. Weiping Liao, Lei He, and Kevin M. Lepak. 2005. Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 24, 7 (2005), 1042--1053.Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Ankur Limaye and Tosiron Adegbija. 2018. A workload characterization of the SPEC CPU2017 benchmark suite. In International Symposium on Performance Analysis of Systems and Software (ISPASS’18). 149--158. DOI:https://doi.org/10.1109/ISPASS.2018.00028Google ScholarGoogle ScholarCross RefCross Ref
  30. Shaobo Liu, Jingyi Zhang, Qing Wu, and Qinru Qiu. 2010. Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor. In Proceedings of ISQED’10. 390--398. DOI:https://doi.org/10.1109/ISQED.2010.5450547Google ScholarGoogle ScholarCross RefCross Ref
  31. Wei-Hen Lo, Kai-zen Liang, and TingTing Hwang. 2016. Thermal-aware dynamic page allocation policy by future access patterns for Hybrid Memory Cube (HMC). In Design, Automation 8 Test in Europe Conference (DATE’16). 1084--1089.Google ScholarGoogle Scholar
  32. Gian Luca Loi, Banit Agrawal, Navin Srivastava, Sheng-Chih Lin, Timothy Sherwood, and Kaustav Banerjee. 2006. A thermally-aware performance analysis of vertically integrated (3D) processor-memory hierarchy. In Design Automation Conference (DAC’06). 991--996.Google ScholarGoogle Scholar
  33. Yanchao Lu, Donghong Wu, Bingsheng He, Xueyan Tang, Jianliang Xu, and Minyi Guo. 2016. Rank-aware dynamic migrations and adaptive demotions for DRAM power management. IEEE Transactions on Computers 65, 1 (Jan. 2016), 187--202. DOI:https://doi.org/10.1109/TC.2015.2409847Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Jie Meng and Ayse K. Coskun. 2012. Analysis and runtime management of 3D systems with stacked DRAM for boosting energy efficiency. In Design, Automation 8 Test in Europe Conference (DATE’12). 611--616. DOI:https://doi.org/10.1109/DATE.2012.6176545Google ScholarGoogle Scholar
  35. Jie Meng, Katsutoshi Kawakami, and Ayse K. Coskun. 2012. Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints. In Design Automation Conference (DAC’12). IEEE, 648--655.Google ScholarGoogle Scholar
  36. Sai Prashanth Muralidhara, Lavanya Subramanian, Onur Mutlu, Mahmut Kandemir, and Thomas Moscibroda. 2011. Reducing memory interference in multicore systems via application-aware memory channel partitioning. In IEEE/ACM International Symposium on Microarchitecture (MICRO’11). ACM, 374--385.Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Santiago Pagani, Heba Khdr, Jian-Jia Chen, Muhammad Shafique, Minming Li, and Jörg Henkel. 2014. TSP: Thermal safe power: Efficient power budgeting for many-core systems in dark silicon. In International Conference on Hardware/Software Codesign and System Synthesis. ACM, 10.Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Vasilis F. Pavlidis and Eby G. Friedman. 2009. Three-Dimensional Integrated Circuit Design. Morgan Kaufmann Publishers Inc., San Francisco, CA.Google ScholarGoogle Scholar
  39. Ivy Bo Peng, Roberto Gioiosa, Gokcen Kestor, Pietro Cicotti, Erwin Laure, and Stefano Markidis. 2017. Exploring the performance benefit of hybrid memory system on HPC environments. In 2017 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW’17). IEEE, 683--692.Google ScholarGoogle ScholarCross RefCross Ref
  40. Zhiliang Qian and Chi-Ying Tsui. 2011. A thermal-aware application specific routing algorithm for network-on-chip design. In Asia and South Pacific Design Automation Conference (ASPDAC’11). IEEE, 449--454.Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Mohamed M. Sabry, Ayse K. Coskun, David Atienza, Tajana Šimunić Rosing, and Thomas Brunschwiler. 2011. Energy-efficient multiobjective thermal control for liquid-cooled 3-D stacked architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 30, 12 (2011), 1883--1896.Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Smruti R. Sarangi, Brian Greskamp, Radu Teodorescu, Jun Nakano, Abhishek Tiwari, and Josep Torrellas. 2008. VARIUS: A model of process variation and resulting timing errors for microarchitects. IEEE Transactions on Semiconductor Manufacturing (TSM) 21, 1 (2008), 3--13.Google ScholarGoogle ScholarCross RefCross Ref
  43. Lokesh Siddhu and Preeti Ranjan Panda. 2019. FastCool: Leakage aware dynamic thermal management of 3D memories. In Design, Automation 8 Test in Europe Conference (DATE’19). IEEE, 272--275.Google ScholarGoogle Scholar
  44. Lokesh Siddhu and Preeti Ranjan Panda. 2019. PredictNcool: Leakage aware thermal management for 3D memories using a lightweight temperature predictor. ACM Transactions on Embedded Computing Systems (TECS) 18, 5s (2019), 64.Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. Filippo Sironi, Martina Maggio, Riccardo Cattaneo, Giovanni F. Del Nero, Donatella Sciuto, and Marco D. Santambrogio. 2013. ThermOS: System support for dynamic thermal management of chip multi-processors. In PACT. IEEE Press, 41--50.Google ScholarGoogle Scholar
  46. Avinash Sodani. 2015. Knights landing (KNL): 2nd generation Intel® Xeon Phi processor. In 2015 IEEE Hot Chips 27 Symposium (HCS). IEEE, 1--24.Google ScholarGoogle ScholarCross RefCross Ref
  47. Sadagopan Srinivasan, Li Zhao, Brinda Ganesh, Bruce Jacob, Mike Espig, and Ravi Iyer. 2009. CMP memory modeling: How much does accuracy matter. In Modeling, Benchmarking and Simulation (MoBS).Google ScholarGoogle Scholar
  48. Meysam Taassori, Ali Shafiee, and Rajeev Balasubramonian. 2016. Understanding and alleviating intra-die and intra-DIMM parameter variation in the memory system. In International Conference on Computer Design (ICCD’16). IEEE, 217--224.Google ScholarGoogle ScholarCross RefCross Ref
  49. Yuan Xie, Jason Cong, and Sachin Sapatnekar. [n.d.]. Three-dimensional integrated circuit design. ([n.d.]).Google ScholarGoogle Scholar
  50. Marina Zapater, Jose L. Ayala, José M. Moya, Kalyan Vaidyanathan, Kenny Gross, and Ayse K. Coskun. 2013. Leakage and temperature aware server control for improving energy efficiency in data centers. In Design, Automation 8 Test in Europe Conference (DATE’13). 266--269. DOI:https://doi.org/10.7873/DATE.2013.067Google ScholarGoogle Scholar
  51. Runjie Zhang, Mircea R. Stan, and Kevin Skadron. 2015. HotSpot 6.0: Validation, Acceleration and Extension. Technical Report. University of Virginia.Google ScholarGoogle Scholar
  52. Bo Zhao, Yu Du, Youtao Zhang, and Jun Yang. 2009. Variation-tolerant non-uniform 3D cache management in die stacked multicore processor. In IEEE/ACM International Symposium on Microarchitecture (MICRO’09). ACM, 222--231.Google ScholarGoogle ScholarDigital LibraryDigital Library
  53. Jintao Zheng, Ning Wu, Lei Zhou, Yunfei Ye, and Ke Sun. 2016. DFSB-based thermal management scheme for 3D NoC-bus architectures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24, 3 (March 2016), 920--931. DOI:https://doi.org/10.1109/TVLSI.2015.2439698Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Leakage-Aware Dynamic Thermal Management of 3D Memories

              Recommendations

              Comments

              Login options

              Check if you have access through your login credentials or your institution to get full access on this article.

              Sign in

              Full Access

              • Published in

                cover image ACM Transactions on Design Automation of Electronic Systems
                ACM Transactions on Design Automation of Electronic Systems  Volume 26, Issue 2
                March 2021
                220 pages
                ISSN:1084-4309
                EISSN:1557-7309
                DOI:10.1145/3430836
                Issue’s Table of Contents

                Copyright © 2020 ACM

                Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                Publisher

                Association for Computing Machinery

                New York, NY, United States

                Publication History

                • Published: 23 October 2020
                • Revised: 1 August 2020
                • Accepted: 1 August 2020
                • Received: 1 March 2020
                Published in todaes Volume 26, Issue 2

                Permissions

                Request permissions about this article.

                Request Permissions

                Check for updates

                Qualifiers

                • research-article
                • Research
                • Refereed

              PDF Format

              View or Download as a PDF file.

              PDF

              eReader

              View online with eReader.

              eReader

              HTML Format

              View this article in HTML Format .

              View HTML Format