skip to main content
10.1145/3453688.3461746acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Accelerating AI Applications using Analog In-Memory Computing: Challenges and Opportunities

Published:22 June 2021Publication History

ABSTRACT

Linear transformations are the dominating computation within many artificial intelligence (AI) applications. The natural multiply and accumulate feature of resistive crossbar arrays promise unprecedented processing capabilities to resistive dot-product engines (DPEs), which can accelerate approximate matrix-vector multiplication using analog in-memory computing. Unfortunately, the functional correctness of the accelerated AI applications may be compromised by various sources of errors. In this paper, we will outline the most pressing robustness challenges, the limitations of state-of-the-art solutions, and future opportunities for research.

Skip Supplemental Material Section

Supplemental Material

GLSVLSI-vlsi31s.mp4

mp4

155.4 MB

References

  1. [n.d.]. Exascale Proxy Applications. https://proxyapps.exascaleproject.org.Google ScholarGoogle Scholar
  2. [n.d.]. Joint University Microelectronics Program (JUMP). https://www.darpa. mil/program/joint-university-microelectronics-program.Google ScholarGoogle Scholar
  3. Fabien Alibart, Ligang Gao, Brian D Hoskins, and Dmitri B Strukov. 2012. High Precision Tuning of State for Memristive Devices by Adaptable Variation-tolerant Algorithm. Nanotechnology, Vol. 23, 7 (2012), 075201.Google ScholarGoogle ScholarCross RefCross Ref
  4. Cornelia I Bargmann and William T Newsome. 2014. The Brain Research Through Advancing Innovative Neurotechnologies (BRAIN) Initiative and Neurology. JAMA neurology, Vol. 71, 6 (2014), 675--676.Google ScholarGoogle Scholar
  5. Karsten Beckmann, Josh Holt, Harika Manem, Joseph Van Nostrand, and Nathaniel C Cady. 2016. Nanoscale Hafnium Oxide RRAM Devices Exhibit Pulse Dependent Behavior and Multi-level Resistance Capability. Mrs Advances, Vol. 1, 49 (2016), 3355--3360.Google ScholarGoogle ScholarCross RefCross Ref
  6. Mahdi Nazm Bojnordi and Engin Ipek. 2016. Memristive Boltzmann Machine: A Hardware Accelerator for Combinatorial Optimization and Deep Learning. In HPCA'16. IEEE, 1--13.Google ScholarGoogle Scholar
  7. Ting Chang, Sung-Hyun Jo, and Wei Lu. 2011. Short-Term Memory to Long-Term Memory Transition in a Nanoscale Memristor. ACS nano, Vol. 5, 9 (2011), 7669--7676.Google ScholarGoogle Scholar
  8. Lerong Chen, Jiawen Li, Yiran Chen, Qiuping Deng, Jiyuan Shen, Xiaoyao Liang, and Li Jiang. 2017. Accelerator-friendly Neural-network Training: Learning Variations and Defects in RRAM Crossbar. In DATE'17. IEEE, 19--24.Google ScholarGoogle Scholar
  9. Ping Chi, Shuangchen Li, Cong Xu, Tao Zhang, Jishen Zhao, Yongpan Liu, Yu Wang, and Yuan Xie. 2016. PRIME: A Novel Processing-in-memory Architecture for Neural Network Computation in ReRAM-based Main Memory. ACM SIGARCH Computer Architecture News, Vol. 44, 3 (2016), 27--39.Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Shinhyun Choi, Yuchao Yang, and Wei Lu. 2014. Random telegraph noise and resistance switching analysis of oxide based resistive memory. Nanoscale, Vol. 6, 1 (2014), 400--404.Google ScholarGoogle ScholarCross RefCross Ref
  11. Leon Chua. 1971. Memristor-The Missing Circuit Element. IEEE Transactions on circuit theory, Vol. 18, 5 (1971), 507--519.Google ScholarGoogle ScholarCross RefCross Ref
  12. R Degraeve, A Fantini, N Raghavan, L Goux, S Clima, B Govoreanu, A Belmonte, D Linten, and M Jurczak. 2015. Causes and consequences of the stochastic aspect of filamentary RRAM. Microelectronic Engineering, Vol. 147 (2015), 171--175.Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Ben Feinberg, Uday Kumar Reddy Vengalam, Nathan Whitehair, Shibo Wang, and Engin Ipek. 2018. Enabling scientific computing on memristive accelerators. In ISCA'18. IEEE, 367--382.Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Zhezhi He, Jie Lin, Rickard Ewetz, Jiann-Shiun Yuan, and Deliang Fan. 2019. Noise Injection Adaption: End-to-end ReRAM Crossbar Non-ideal Effect Adaption for Neural Network Mapping. In DAC'19. 1--6.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Miao Hu, Catherine E Graves, Can Li, Yunning Li, Ning Ge, Eric Montgomery, Noraica Davila, Hao Jiang, R Stanley Williams, J Joshua Yang, et almbox. 2018. Memristor-Based Analog Computation and Neural Network Classification with a Dot Product Engine. Advanced Materials, Vol. 30, 9 (2018), 1705914.Google ScholarGoogle ScholarCross RefCross Ref
  16. Miao Hu, Hai Li, Yiran Chen, Qing Wu, Garrett S Rose, and Richard W Linderman. 2014. Memristor Crossbar-Based Neuromorphic Computing System: A Case Study. IEEE TNNLS, Vol. 25, 10 (2014), 1864--1878.Google ScholarGoogle Scholar
  17. Miao Hu, John Paul Strachan, Zhiyong Li, Emmanuelle M Grafals, Noraica Davila, Catherine Graves, Sity Lam, Ning Ge, Jianhua Joshua Yang, and R Stanley Williams. 2016. Dot-Product Engine for Neuromorphic Computing: Programming 1T1M Crossbar to Accelerate Matrix-Vector Multiplication. In ACM/EDAC/IEEE DAC'16. IEEE, 1--6.Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Yiming Huai. 2008. Spin-Transfer Torque MRAM (STT-MRAM): Challenges and Prospects. AAPPS bulletin, Vol. 18, 6 (2008), 33--40.Google ScholarGoogle Scholar
  19. Shubham Jain, Abhronil Sengupta, Kaushik Roy, and Anand Raghunathan. 2020. RxNN: A Framework for Evaluating Deep Neural Networks on Resistive Crossbars. IEEE TCAD (2020).Google ScholarGoogle Scholar
  20. Brian G Johnson and Charles H Dennison. 2004. Phase change memory. US Patent 6,791,102.Google ScholarGoogle Scholar
  21. Manuel Le Gallo, Abu Sebastian, Roland Mathis, Matteo Manica, Heiner Giefers, Tomas Tuma, Costas Bekas, Alessandro Curioni, and Evangelos Eleftheriou. 2018. Mixed-Precision In-Memory Computing. Nature Electronics, Vol. 1, 4 (2018), 246--253.Google ScholarGoogle ScholarCross RefCross Ref
  22. Yann LeCun, Yoshua Bengio, and Geoffrey Hinton. 2015. Deep learning. Nature, Vol. 521, 7553 (2015), 436--444.Google ScholarGoogle Scholar
  23. HY Lee, PS Chen, TY Wu, YS Chen, CC Wang, PJ Tzeng, CH Lin, F Chen, CH Lien, and M-J Tsai. 2008. Low Power and High Speed Bipolar Switching with A Thin Reactive Ti Buffer Layer in Robust HfO$_2$ Based RRAM. In IEEE IEDM'08. IEEE, 1--4.Google ScholarGoogle Scholar
  24. Can Li, Yunning Li, Hao Jiang, Wenhao Song, Peng Lin, Zhongrui Wang, J Joshua Yang, Qiangfei Xia, Miao Hu, Eric Montgomery, et almbox. 2018. Large Memristor Crossbars for Analog Computing. In ISCAS'18. IEEE, 1--4.Google ScholarGoogle Scholar
  25. Beiye Liu, Miao Hu, Hai Li, Zhi-Hong Mao, Yiran Chen, Tingwen Huang, and Wei Zhang. 2013. Digital-Assisted Noise-Eliminating Training for Memristor Crossbar-based Analog Neuromorphic Computing Engine. In ACM/EDAC/IEEE DAC'13. IEEE, 1--6.Google ScholarGoogle Scholar
  26. Beiye Liu, Hai Li, Yiran Chen, Xin Li, Tingwen Huang, Qing Wu, and Mark Barnell. 2014. Reduction and IR-drop Compensations Techniques for Reliable Neuromorphic Computing Systems. In ICCAD'14. IEEE, 63--70.Google ScholarGoogle Scholar
  27. Beiye Liu, Hai Li, Yiran Chen, Xin Li, Qing Wu, and Tingwen Huang. 2015a. Vortex: Variation-aware Training for Memristor X-bar. In ACM/EDAC/IEEE DAC'15. 1--6.Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Chenchen Liu, Miao Hu, John Paul Strachan, and Hai Li. 2017. Rescuing Memristor-based Neuromorphic Design with High Defects. In ACM/EDAC/IEEE DAC'17. IEEE, 1--6.Google ScholarGoogle Scholar
  29. Xiaoxiao Liu, Mengjie Mao, Beiye Liu, Hai Li, Yiran Chen, Boxun Li, Yu Wang, Hao Jiang, Mark Barnell, Qing Wu, et almbox. 2015b. RENO: A High-efficient Reconfigurable Neuromorphic Computing Accelerator Design. In DAC'15. 1--6.Google ScholarGoogle Scholar
  30. Yun Long, Xueyuan She, and Saibal Mukhopadhyay. 2019. Design of Reliable DNN Accelerator with Un-reliable ReRAM. In DATE'19. IEEE, 1769--1774.Google ScholarGoogle Scholar
  31. Stuart Parkin, Xin Jiang, Christian Kaiser, Alex Panchula, Kevin Roche, and Mahesh Samant. 2003. Magnetically Engineered Spintronic Sensors and Memory. Proc. IEEE, Vol. 91, 5 (2003), 661--680.Google ScholarGoogle ScholarCross RefCross Ref
  32. Ali Shafiee, Anirban Nag, Naveen Muralimanohar, Rajeev Balasubramonian, John Paul Strachan, Miao Hu, R Stanley Williams, and Vivek Srikumar. 2016. ISAAC: A Convolutional Neural Network Accelerator with In-Situ Analog Arithmetic in Crossbars. ACM SIGARCH Computer Architecture News, Vol. 44, 3 (2016), 14--26.Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Linghao Song, Xuehai Qian, Hai Li, and Yiran Chen. 2017. PipeLayer: A Pipelined ReRAM-Based Accelerator for Deep Learning. In HPCA'17. IEEE, 541--552.Google ScholarGoogle Scholar
  34. Linghao Song, Youwei Zhuo, Xuehai Qian, Hai Li, and Yiran Chen. 2018. GraphR: Accelerating Graph Processing Using ReRAM. In HPCA'18. IEEE, 531--543.Google ScholarGoogle Scholar
  35. Dmitri B Strukov, Gregory S.Snider, Duncan R.Stewart, and R.Stanley Williams. 2009. The missing memristor found. Nature, Vol. 453, 12 (2009), 80--83.Google ScholarGoogle ScholarCross RefCross Ref
  36. Necati Uysal, Baogang Zhang, Sumit Kumar Jha, and Rickard Ewetz. 2020. DP-MAP: Towards Resistive Dot-Product Engines with Improved Precision. In IEEE/ACM ICCAD'20. IEEE, 1--9.Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. A van de Goor and Y Zorian. 1993. Effective March Algorithms for Testing Single-Order Addressed Memories. In 1993 European Conference on Design Automation with the European Event in ASIC Design. IEEE, 499--505.Google ScholarGoogle Scholar
  38. H-S Philip Wong, Heng-Yuan Lee, Shimeng Yu, Yu-Sheng Chen, Yi Wu, Pang-Shiu Chen, Byoungil Lee, Frederick T Chen, and Ming-Jinn Tsai. 2012. Metal--oxide RRAM. Proc. IEEE, Vol. 100, 6 (2012), 1951--1970.Google ScholarGoogle ScholarCross RefCross Ref
  39. H-S Philip Wong, Simone Raoux, SangBum Kim, Jiale Liang, John P Reifenberg, Bipin Rajendran, Mehdi Asheghi, and Kenneth E Goodson. 2010. Phase Change Memory. Proc. IEEE, Vol. 98, 12 (2010), 2201--2227.Google ScholarGoogle ScholarCross RefCross Ref
  40. Wm A Wulf and Sally A McKee. 1995. Hitting the Memory Wall: Implications of the Obvious. ACM SIGARCH computer architecture news, Vol. 23, 1 (1995), 20--24.Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Lixue Xia, Peng Gu, Boxun Li, Tianqi Tang, Xiling Yin, Wenqin Huangfu, Shimeng Yu, Yu Cao, Yu Wang, and Huazhong Yang. 2016. Technological Exploration of RRAM Crossbar Array for Matrix-Vector Multiplication. JCST, Vol. 31, 1 (2016), 3--19.Google ScholarGoogle ScholarCross RefCross Ref
  42. Lixue Xia, Wenqin Huangfu, Tianqi Tang, Xiling Yin, Krishnendu Chakrabarty, Yuan Xie, Yu Wang, and Huazhong Yang. 2018. Stuck-at Fault Tolerance in RRAM Computing Systems. IEEE JETCAS, Vol. 8, 1 (2018), 102--115.Google ScholarGoogle Scholar
  43. L. Xia, Mengyun Liu, Xuefei Ning, K. Chakrabarty, and Yu Wang. 2017. Fault-Tolerant Training with On-Line Fault Detection for RRAM-Based Neural Computing Systems. In Proc. Design Automation Conference. 1--6.Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. Baogang Zhang and Rickard Ewetz. 2020. Towards Resilient Deployment of In-Memory Neural Networks with High Throughput. In DAC'21. 1--9.Google ScholarGoogle Scholar
  45. Baogang Zhang, Necati Uysal, and Rickard Ewetz. 2019 a. STAT: Mean and Variance Characterization for Robust Inference of DNNs on Memristor-based Platforms. In GLSVLSI. 339--342.Google ScholarGoogle Scholar
  46. Baogang Zhang, Necati Uysal, Deliang Fan, and Rickard Ewetz. 2019 b. Handling Stuck-at-Fault Defects Using Matrix Transformation for Robust Inference of DNNs. IEEE TCAD, Vol. 39, 10 (2019), 2448--2460.Google ScholarGoogle Scholar
  47. Baogang Zhang, Necati Uysal, Deliang Fan, and Rickard Ewetz. 2020. Representable Matrices: Enabling High Accuracy Analog Computation for Inference of DNNs using Memristors. In ASP-DAC'20. IEEE, 538--543.Google ScholarGoogle Scholar
  48. Fan Zhang and Miao Hu. 2020. Defects Mitigation in Resistive Crossbars for Analog Vector Matrix Multiplication. In ASP-DAC'20. IEEE, 187--192.Google ScholarGoogle Scholar

Index Terms

  1. Accelerating AI Applications using Analog In-Memory Computing: Challenges and Opportunities

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        GLSVLSI '21: Proceedings of the 2021 on Great Lakes Symposium on VLSI
        June 2021
        504 pages
        ISBN:9781450383936
        DOI:10.1145/3453688

        Copyright © 2021 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 22 June 2021

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate312of1,156submissions,27%

        Upcoming Conference

        GLSVLSI '24
        Great Lakes Symposium on VLSI 2024
        June 12 - 14, 2024
        Clearwater , FL , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader