skip to main content
10.1145/3479876acmconferencesBook PagePublication PagesnocsConference Proceedingsconference-collections
NOCS '21: Proceedings of the 15th IEEE/ACM International Symposium on Networks-on-Chip
ACM2021 Proceeding
Publisher:
  • Association for Computing Machinery
  • New York
  • NY
  • United States
Conference:
NOCS '21: International Symposium on Networks-on-Chip Virtual Event October 14 - 15, 2021
ISBN:
978-1-4503-9083-5
Published:
08 October 2021
Sponsors:
In-Cooperation:
IEEE CAS, IEEE Council on Electronic Design Automation (CEDA)

Bibliometrics
Skip Abstract Section
Abstract

NOCS is the premier event dedicated to interdisciplinary research on on-chip, package-scale, chip-to-chip, and rack-scale communication technology, architecture, design methods, applications and systems. NOCS brings together scientists and engineers working on innovations and applications from inter-related research communities, including discrete optimization and algorithms, computer architecture, networking, circuits and systems, packaging, embedded systems, and design automation.

Skip Table Of Content Section
SESSION: NoCs for DNN accelerators
research-article
A novel network fabric for efficient spatio-temporal reduction in flexible DNN accelerators

Increasing deployment of Deep Neural Networks (DNNs) in a myriad of applications, has recently fueled interest in the development of specific accelerator architectures capable of meeting their stringent performance and energy consumption requirements.

...

short-paper
Analysis of on-chip communication properties in accelerator architectures for deep neural networks

Deep neural networks (DNNs) algorithms are expected to be core components of next-generation applications. These high performance sensing and recognition algorithms are key enabling technologies of smarter systems that make appropriate decisions about ...

short-paper
NEWROMAP: mapping CNNs to NoC-interconnected self-contained data-flow accelerators for edge-AI

Conventional AI accelerators are limited by von-Neumann bottlenecks for edge workloads. Domain-specific accelerators (often neuromorphic) solve this by applying near/in-memory computing, NoC-interconnected massive-multicore setups, and data-flow ...

SESSION: Security and NoC routing
research-article
Packet header attack by hardware trojan in NoC based TCMP and its impact analysis

With the advancement of VLSI technology, Tiled Chip Multicore Processors (TCMP) with packet switched Network-on-Chip (NoC) have been emerged as the backbone of the modern data intensive parallel systems. Due to tight time-to-market constraints, ...

short-paper
Securing network-on-chips via novel anonymous routing

Network-on-Chip (NoC) is widely used as an efficient communication architecture in multi-core and many-core System-on-Chips (SoCs). However, the shared communication resources in NoCs, e.g., channels, buffers, and routers might be used to conduct ...

short-paper
Public Access
Denial-of-service attack detection using machine learning in network-on-chip architectures

State-of-the-art System-on-Chip (SoC) designs consist of many Intellectual Property (IP) cores that interact using a Network-on-Chip (NoC) architecture. SoC designers increasingly rely on global supply chains for obtaining third-party IPs. In addition ...

SESSION: NoC design for modern systems
research-article
PIugSMART: a pluggable open-source module to implement multihop bypass in networks-on-chip

The integration of many processing elements per die makes it more difficult to provide low latency in the Network-on-Chip (NoC). Multihop bypass proposals, such as SMART, attack this problem by allowing flits to skip multiple routers in the path in a ...

short-paper
DUB: dynamic underclocking and bypassing in nocs for heterogeneous GPU workloads

The performance of graphics processing units (GPU) workloads can be sensitive to the various clock domains which are dynamically tunable in modern GPUs. In this work, we observe that GPU application performance is sensitive towards NoC clock frequencies ...

short-paper
Worst-case latency analysis for the versal NoC network packet switch

The recent line of Versal FPGA devices from Xilinx Inc. includes a hard Network-On-Chip (NoC) embedded in the programmable logic, designed to be a high-performance system-level interconnect. While the target markets for Versal devices include ...

short-paper
Synthesis of predictable global NoC by abutment in synchoros VLSI design

Synchoros VLSI design style has been proposed as an alternative to the standard cell-based design style; the word synchoros is derived from the Greek word choros for space. Synchoricity discretises space with a virtual grid, the way synchronicity ...

SESSION: Secure NoC-based systems
research-article
Open Access
Sentry-NoC: a statically-scheduled NoC for secure SoCs

SoC security has become essential with devices now pervasive in critical infrastructure in homes and businesses. Today's embedded SoCs are becoming increasingly high-performance and complex, comprising multiple cores, accelerators, and IP blocks ...

research-article
Multilayer NoC firewall services: case-study on e-health

Network-on-Chip (NoC) Firewall provides memory protection and process isolation. In this paper, we design, implement and validate hierarchical Linux security primitives on top of a custom NoC Firewall module embedded on the ARM-based Xilinx Zedboard ...

Contributors
  • Georgia Institute of Technology
  • Polytechnic University of Catalonia
  • University of Wisconsin-Madison

Recommendations

Acceptance Rates

Overall Acceptance Rate14of44submissions,32%
YearSubmittedAcceptedRate
NOCS '17441432%
Overall441432%