skip to main content
research-article

Energy-efficient and Reliable Inference in Nonvolatile Memory under Extreme Operating Conditions

Published:09 December 2022Publication History
Skip Abstract Section

Abstract

Beyond-edge devices can operate outside the reach of the power grid and without batteries. Such devices can be deployed in large numbers in regions that are difficult to access. Using machine learning, these devices can solve complex problems and relay valuable information back to a host. Many such devices deployed in low Earth orbit can even be used as nanosatellites. Due to the harsh and unpredictable nature of the environment, these devices must be highly energy-efficient, be capable of operating intermittently over a wide temperature range, and be tolerant of radiation. Here, we propose a non-volatile processing-in-memory architecture that is extremely energy-efficient, supports minimal overhead checkpointing for intermittent computing, can operate in a wide range of temperatures, and has a natural resilience to radiation.

REFERENCES

  1. [1] Everspin Technologies. 2019. Retrieved from https://www.everspin.com/supportdocs/EMD3D256M08G1-150CBS1. Google ScholarGoogle Scholar
  2. [2] Everspin Technologies. 2019. Retrieved from https://www.everspin.com/family/emd4e001g?npath=3557. Google ScholarGoogle Scholar
  3. [3] Ahn Junwhan, Hong Sungpack, Yoo Sungjoo, Mutlu Onur, and Choi Kiyoung. 2015. A scalable processing-in-memory accelerator for parallel graph processing. In Proceedings of the 42nd Annual International Symposium on Computer Architecture. 105117.Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. [4] Anguita Davide, Ghio Alessandro, Oneto Luca, Parra Xavier, and Reyes-Ortiz Jorge Luis. 2013. A public domain dataset for human activity recognition using smartphones. In Proceedings of the 21st European Symposium on Artificial Neural Networks (ESANN’13).Google ScholarGoogle Scholar
  5. [5] Aouda Faycal Ait, Marquet Kevin, and Salagnac Guillaume. 2014. Incremental checkpointing of program state to NVRAM for transiently powered systems. In Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC’14). IEEE, 14.Google ScholarGoogle Scholar
  6. [6] Balsamo Domenico, Das Anup, Weddell Alex S., Brunelli Davide, Al-Hashimi Bashir M., Merrett Geoff V., and Benini Luca. 2016. Graceful performance modulation for power-neutral transient computing systems. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 35, 5 (2016), 738749.Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. [7] Balsamo Domenico, Weddell Alex S., Das Anup, Arreola Alberto Rodriguez, Brunelli Davide, Al-Hashimi Bashir M., Merrett Geoff V., and Benini Luca. 2016. Hibernus++: A self-calibrating and adaptive system for transiently powered embedded devices. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 35, 12 (2016), 19681980.Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. [8] Balsamo Domenico, Weddell Alex S., Merrett Geoff V., Al-Hashimi Bashir M., Brunelli Davide, and Benini Luca. 2014. Hibernus: Sustaining computation during intermittent supply for energy-harvesting systems. IEEE Embed. Syst. Lett. 7, 1 (2014), 1518.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. [9] Barton Mark and Miller Jon. 2005. Modular thermal design concepts: Thermal design of a spacecraft on a module level for LEO missions.Google ScholarGoogle Scholar
  10. [10] Baumann Robert. 2005. Soft errors in advanced computer systems. IEEE Design Test Comput. 22, 3 (2005), 258266.Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. [11] Bayer Todd, Buffington Brent, Castet Jean-Francois, Jackson Maddalena, Lee Gene, Lewis Kari, Kastner Jason, Schimmels Kathy, and Kirby Karen. 2017. Europa mission update: Beyond payload selection. In Proceedings of the IEEE Aerospace Conference. IEEE, 112.Google ScholarGoogle ScholarCross RefCross Ref
  12. [12] Berthou Gautier, Delizy Tristan, Marquet Kevin, Risset Tanguy, and Salagnac Guillaume. 2017. Peripheral state persistence for transiently-powered systems. In Proceedings of the Global Internet of Things Summit (GIoTS’17). IEEE, 16.Google ScholarGoogle ScholarCross RefCross Ref
  13. [13] Chandrakasan Anantha P., Daly Denis C., Kwong Joyce, and Ramadass Yogesh K.. 2008. Next generation micro-power systems. In Proceedings of the IEEE Symposium on VLSI Circuits. IEEE, 25.Google ScholarGoogle ScholarCross RefCross Ref
  14. [14] Chang Chih-Chung and Lin Chih-Jen. 2011. LIBSVM: A library for support vector machines. ACM Trans. Intell. Syst. Technol. 2, 3 (2011), 27.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. [15] Chen Lerong, Li Jiawen, Chen Yiran, Deng Qiuping, Shen Jiyuan, Liang Xiaoyao, and Jiang Li. 2017. Accelerator-friendly neural-network training: Learning variations and defects in RRAM crossbar. In Proceedings of the Conference on Design, Automation and Test in Europe. European Design and Automation Association, 1924.Google ScholarGoogle ScholarCross RefCross Ref
  16. [16] Chen Xizi, Jiang Jingbo, Zhu Jingyang, and Tsui Chi-Ying. 2018. A high-throughput and energy-efficient RRAM-based convolutional neural network using data encoding and dynamic quantization. In Proceedings of the 23rd Asia and South Pacific Design Automation Conference (ASP-DAC’18). IEEE, 123128.Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. [17] Chowdhury Zamshed, Harms Jonathan D., Khatamifard S. Karen, Zabihi Masoud, Lv Yang, Lyle Andrew P., Sapatnekar Sachin S., Karpuzcu Ulya R., and Wang Jian-Ping. 2017. Efficient in-memory processing using spintronics. IEEE Comput. Architect. Lett. 17, 1 (2017), 4246.Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. [18] Colin Alexei and Lucia Brandon. 2016. Chain: Tasks and channels for reliable intermittent programs. In ACM SIGPLAN Notices, Vol. 51. ACM, 514530.Google ScholarGoogle Scholar
  19. [19] Colin Alexei and Lucia Brandon. 2018. Termination checking and task decomposition for task-based intermittent programs. In Proceedings of the 27th International Conference on Compiler Construction. ACM, 116127.Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. [20] Colin Alexei, Ruppel Emily, and Lucia Brandon. 2018. A reconfigurable energy storage architecture for energy-harvesting devices. In ACM SIGPLAN Notices, Vol. 53. ACM, 767781.Google ScholarGoogle Scholar
  21. [21] Conraux Y., Nozieres J. P., Costa V. Da, Toulemonde M., and Ounadjela K.. 2003. Effects of swift heavy ion bombardment on magnetic tunnel junction functional properties. J. Appl. Phys. 93, 10 (2003), 73017303.Google ScholarGoogle ScholarCross RefCross Ref
  22. [22] Conti Francesco, Schiavone Pasquale Davide, and Benini Luca. 2018. XNOR neural engine: A hardware accelerator IP for 21.6-fJ/op binary neural network inference. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 37, 11 (2018), 29402951.Google ScholarGoogle ScholarCross RefCross Ref
  23. [23] Courbariaux Matthieu, Hubara Itay, Soudry Daniel, El-Yaniv Ran, and Bengio Yoshua. 2016. Binarized neural networks: Training deep neural networks with weights and activations constrained to +1 or \(-1\). Retrieved from https://arXiv:1602.02830.Google ScholarGoogle Scholar
  24. [24] Cilasun Hsrev, Resch Salonik, Chowdhury Zamshed Iqbal, Olson Erin, Zabihi Masoud, Zhao Zhengyang, Peterson Thomas, Wang Jian-Ping, Sapatnekar Sachin S., and Karpuzcu Ulya. 2020. CRAFFT: High resolution FFT accelerator in spintronic computational RAM. In Proceedings of the 57th Annual ACM/IEEE Design Automation Conference.Google ScholarGoogle ScholarCross RefCross Ref
  25. [25] Winkel Jasper de, Donne Carlo Delle, Yildirim Kasim Sinan, Pawełczak Przemysław, and Hester Josiah. 2020. Reliable timekeeping for intermittent computing. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 5367.Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. [26] Denby Bradley and Lucia Brandon. 2019. Orbital edge computing: Machine inference in space. IEEE Comput. Architect. Lett. 18, 1 (2019), 5962.Google ScholarGoogle ScholarCross RefCross Ref
  27. [27] Denby Bradley and Lucia Brandon. 2020. Orbital edge computing: Nanosatellite constellations as a new class of computer system. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 939954.Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. [28] Diao Zhitao, Panchula Alex, Ding Yunfei, Pakala Mahendra, Wang Shengyuan, Li Zhanjie, Apalkov Dmytro, Nagai Hideyasu, Driskill-Smith Alexander, Wang Lien-Chang, et al. 2007. Spin transfer switching in dual MgO magnetic tunnel junctions. Appl. Phys. Lett. 90, 13 (2007), 132508.Google ScholarGoogle ScholarCross RefCross Ref
  29. [29] Dong Xiangyu, Wu Xiaoxia, Sun Guangyu, Xie Yuan, Li Helen, and Chen Yiran. 2008. Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. In Proceedings of the 45th ACM/IEEE Design Automation Conference. IEEE, 554559.Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. [30] Dong Xiangyu, Xu Cong, Xie Yuan, and Jouppi Norman P.. 2012. Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 31, 7 (2012), 9941007.Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. [31] Dürrenfeld Philipp, Gerhard Felicitas, Chico Jonathan, Dumas Randy K., Ranjbar Mojtaba, Bergman Anders, Bergqvist Lars, Delin Anna, Gould Charles, Molenkamp Laurens W., et al. 2015. Tunable damping, saturation magnetization, and exchange stiffness of half-Heusler NiMnSb thin films. Phys. Rev. B 92, 21 (2015), 214424.Google ScholarGoogle ScholarCross RefCross Ref
  32. [32] Eckert Charles, Wang Xiaowei, Wang Jingcheng, Subramaniyan Arun, Iyer Ravi, Sylvester Dennis, Blaauw David, and Das Reetuparna. 2018. Neural cache: Bit-serial in-cache acceleration of deep neural networks. In Proceedings of the 45th Annual International Symposium on Computer Architecture. IEEE Press, 383396.Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. [33] Fleming Patrick R., Olson Brian D., Holman W. Timothy, Bhuva Bharat L., and Massengill Lloyd W.. 2008. Design technique for mitigation of soft errors in differential switched-capacitor circuits. IEEE Trans. Circ. Syst. II: Express Briefs 55, 9 (2008), 838842.Google ScholarGoogle ScholarCross RefCross Ref
  34. [34] Ganesan Karthik, Miguel Joshua San, and Jerger Natalie Enright. 2019. The what’s next intermittent computing architecture. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’19). IEEE, 211223.Google ScholarGoogle ScholarCross RefCross Ref
  35. [35] Ganesan Karthik, Miguel Joshua San, and Jerger Natalie Enright. 2019. The what’s next intermittent computing architecture. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’19). IEEE, 211223.Google ScholarGoogle ScholarCross RefCross Ref
  36. [36] Garello Kevin, Yasin Farrukh, Couet S., Souriau Laurent, Swerts J., Rao S., Beek Simon Van, Kim Wonsub, Liu Enlong, Kundu S., et al. 2018. SOT-MRAM 300mm integration for low power and ultrafast embedded memories. In Proceedings of the IEEE Symposium on VLSI Circuits. IEEE, 8182.Google ScholarGoogle ScholarCross RefCross Ref
  37. [37] Gerardin Simone and Paccagnella Alessandro. 2010. Present and future non-volatile memories for space. IEEE Trans. Nuclear Sci. 57, 6 (2010), 30163039.Google ScholarGoogle Scholar
  38. [38] Gobieski Graham, Beckmann Nathan, and Lucia Brandon. 2018. Intermittent Deep Neural Network Inference.Google ScholarGoogle Scholar
  39. [39] Gobieski Graham, Lucia Brandon, and Beckmann Nathan. 2019. Intelligence beyond the edge: Inference on intermittent embedded systems. In Proceedings of the 24th International Conference on Architectural Support for Programming Languages and Operating Systems. 199213.Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. [40] Gobieski Graham, Nagi Amolak, Serafin Nathan, Isgenc Mehmet Meric, Beckmann Nathan, and Lucia Brandon. 2019. Manic: A vector-dataflow architecture for ultra-low-power embedded systems. In Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. 670684.Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. [41] Greenspan Hayit, Ginneken Bram Van, and Summers Ronald M.. 2016. Guest editorial deep learning in medical imaging: Overview and future promise of an exciting new technique. IEEE Trans. Med. Imag. 35, 5 (2016), 11531159.Google ScholarGoogle ScholarCross RefCross Ref
  42. [42] Guthaus Matthew R., Ringenberg Jeffrey S., Ernst Dan, Austin Todd M., Mudge Trevor, and Brown Richard B.. 2001. MiBench: A free, commercially representative embedded benchmark suite. In Proceedings of the 4th Annual IEEE International Workshop on Workload Characterization (WWC’01). IEEE, 314.Google ScholarGoogle ScholarCross RefCross Ref
  43. [43] Harjani Ramesh and Chaubey Saurabh. 2014. A unified framework for capacitive series-parallel DC-DC converter design. In Proceedings of the IEEE Custom Integrated Circuits Conference. IEEE, 18.Google ScholarGoogle ScholarCross RefCross Ref
  44. [44] Hennessy John L. and Patterson David A.. 2011. Computer Architecture: A Quantitative Approach. Elsevier.Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. [45] Hester Josiah, Peters Travis, Yun Tianlong, Peterson Ronald, Skinner Joseph, Golla Bhargav, Storer Kevin, Hearndon Steven, Freeman Kevin, Lord Sarah, et al. 2016. Amulet: An energy-efficient, multi-application wearable platform. In Proceedings of the 14th ACM Conference on Embedded Network Sensor Systems CD-ROM. ACM, 216229.Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. [46] Hester Josiah, Sitanayah Lanny, and Sorber Jacob. 2015. Tragedy of the coulombs: Federating energy storage for tiny, intermittently-powered sensors. In Proceedings of the 13th ACM Conference on Embedded Networked Sensor Systems. ACM, 516.Google ScholarGoogle ScholarDigital LibraryDigital Library
  47. [47] Hester Josiah and Sorber Jacob. 2017. Flicker: Rapid prototyping for the batteryless internet-of-things. In Proceedings of the 15th ACM Conference on Embedded Network Sensor Systems. ACM, 19.Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. [48] Hester Josiah, Storer Kevin, and Sorber Jacob. 2017. Timely execution on intermittently powered batteryless sensors. In Proceedings of the 15th ACM Conference on Embedded Network Sensor Systems. ACM, 17.Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. [49] Hicks Matthew. 2017. Clank: Architectural support for intermittent computation. In Proceedings of the ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA’17). IEEE, 228240.Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. [50] Hirtzlin Tifenn, Penkovsky Bogdan, Klein Jacques-Olivier, Locatelli Nicolas, Vincent Adrien F., Bocquet Marc, Portal Jean-Michel, and Querlioz Damien. 2019. Implementing binarized neural networks with magnetoresistive ram without error correction. In Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH’19). IEEE, 15.Google ScholarGoogle ScholarCross RefCross Ref
  51. [51] Hu G., Lee J. H., Nowak J. J., Sun J. Z., Harms J., Annunziata A., Brown S., Chen W., Kim Y. H., Lauer G., et al. 2015. STT-MRAM with double magnetic tunnel junctions. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’15). IEEE, 26–3.Google ScholarGoogle ScholarCross RefCross Ref
  52. [52] Huang Xiao-Di et al. 2020. Forming-free, fast, uniform, and high endurance resistive switching from cryogenic to high temperatures in W/AlO x/Al 2 O 3/Pt bilayer memristor. IEEE Electron Device Lett. 41, 4 (2020), 549552.Google ScholarGoogle ScholarCross RefCross Ref
  53. [53] Hughes Harold, Bussmann Konrad, McMarr Patrick J., Cheng Shu-Fan, Shull Robert, Chen Andrew P., Schafer Simon, Mewes Tim, Ong Adrian, Chen Eugene, et al. 2012. Radiation studies of spin-transfer torque materials and devices. IEEE Trans. Nuclear Sci. 59, 6 (2012), 30273033.Google ScholarGoogle ScholarCross RefCross Ref
  54. [54] Hwang Kyuyeon and Sung Wonyong. 2014. Fixed-point feedforward deep neural network design using weights+ 1, 0, and- 1. In Proceedings of the IEEE Workshop on Signal Processing Systems (SiPS’14). IEEE, 16.Google ScholarGoogle ScholarCross RefCross Ref
  55. [55] Jan Guenole, Thomas Luc, Le Son, Lee Yuan-Jen, Liu Huanlong, Zhu Jian, Tong Ru-Ying, Pi Keyu, Wang Yu-Jen, Shen Dongna, et al. 2014. Demonstration of fully functional 8Mb perpendicular STT-MRAM chips with sub-5ns writing for non-volatile embedded memories. In Proceedings of the Symposium on VLSI Technology: Digest of Technical Papers. IEEE, 12.Google ScholarGoogle ScholarCross RefCross Ref
  56. [56] Jayakumar Hrishikesh, Raha Arnab, and Raghunathan Vijay. 2014. QuickRecall: A low overhead HW/SW approach for enabling computations across power cycles in transiently powered computers. In Proceedings of the 27th International Conference on VLSI Design and 13th International Conference on Embedded Systems. IEEE, 330335.Google ScholarGoogle ScholarDigital LibraryDigital Library
  57. [57] Jia Hongyang, Valavi H., Tang Y., Zhang J., and Verma N.. 2019. A programmable embedded microprocessor for bit-scalable in-memory computing. In Proceedings of the IEEE Hot Chips 31 Symposium (HCS’19). IEEE, 129.Google ScholarGoogle ScholarCross RefCross Ref
  58. [58] Jun Sang-Woo, Liu Ming, Lee Sungjin, Hicks Jamey, Ankcorn John, King Myron, Xu Shuotao, et al. 2015. Bluedbm: An appliance for big data analytics. In Proceedings of the ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA’15). IEEE, 113.Google ScholarGoogle ScholarDigital LibraryDigital Library
  59. [59] Jung Wanyeong, Oh Sechang, Bang Suyoung, Lee Yoonmyung, Sylvester Dennis, and Blaauw David. 2014. 23.3 A 3nW fully integrated energy harvester based on self-oscillating switched-capacitor DC-DC converter. In Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC’14). IEEE, 398399.Google ScholarGoogle ScholarCross RefCross Ref
  60. [60] Kang Yangwook, Kee Yang-suk, Miller Ethan L., and Park Chanik. 2013. Enabling cost-effective data processing with smart SSD. In Proceedings of the IEEE 29th Symposium on Mass Storage Systems and Technologies (MSST’13). IEEE, 112.Google ScholarGoogle ScholarCross RefCross Ref
  61. [61] Kappert Holger, Kordas Norbert, Dreiner Stefan, Paschen Uwe, and Kokozinski Rainer. 2015. High temperature SOI CMOS technology and circuit realization for applications up to 300\(^{\circ }\)C. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’15). IEEE, 11621165.Google ScholarGoogle Scholar
  62. [62] Kim Sungchan, Oh Hyunok, Park Chanik, Cho Sangyeun, Lee Sang-Won, and Moon Bongki. 2016. In-storage processing of database scans and joins. Info. Sci. 327 (2016), 183200.Google ScholarGoogle ScholarDigital LibraryDigital Library
  63. [63] Kim Sangkil, Vyas Rushi, Bito Jo, Niotaki Kyriaki, Collado Ana, Georgiadis Apostolos, and Tentzeris Manos M.. 2014. Ambient RF energy-harvesting technologies for self-sustainable standalone wireless sensor platforms. Proc. IEEE 102, 11 (2014), 16491666.Google ScholarGoogle ScholarCross RefCross Ref
  64. [64] Kim Sangkil, Vyas Rushi, Bito Jo, Niotaki Kyriaki, Collado Ana, Georgiadis Apostolos, and Tentzeris Manos M.. 2014. Ambient RF energy-harvesting technologies for self-sustainable standalone wireless sensor platforms. Proc. IEEE 102, 11 (2014), 16491666.Google ScholarGoogle ScholarCross RefCross Ref
  65. [65] Kobayashi Daisuke, Hirose Kazuyuki, Makino Takahiro, Onoda Shinobu, Ohshima Takeshi, Ikeda Shoji, Sato Hideo, Enobio Eli Christopher Inocencio, Endoh Tetsuo, and Ohno Hideo. 2017. Soft errors in 10-nm-scale magnetic tunnel junctions exposed to high-energy heavy-ion radiation. Japan. J. Appl. Phys. 56, 8 (2017), 0802B4.Google ScholarGoogle ScholarCross RefCross Ref
  66. [66] Kobayashi Daisuke, Kakehashi Yuya, Hirose Kazuyuki, Onoda Shinobu, Makino Takahiro, Ohshima Takeshi, Ikeda Shoji, Yamanouchi Michihiko, Sato Hideo, Enobio Eli Christopher, et al. 2014. Influence of heavy ion irradiation on perpendicular-anisotropy CoFeB-MgO magnetic tunnel junctions. IEEE Trans. Nuclear Sci. 61, 4 (2014), 17101716.Google ScholarGoogle ScholarCross RefCross Ref
  67. [67] Kohavi Ron. 1996. Scaling up the accuracy of Naive-Bayes classifiers: A decision-tree hybrid. In Proceedings of the 2nd International Conference on Knowledge Discovery and Data Mining (KDD’96), Vol. 96. Citeseer, 202207.Google ScholarGoogle Scholar
  68. [68] Kortbeek Vito, Yildirim Kasim Sinan, Bakar Abu, Sorber Jacob, Hester Josiah, and Pawełczak Przemysław. 2020. Time-sensitive intermittent computing meets legacy software. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 8599.Google ScholarGoogle ScholarDigital LibraryDigital Library
  69. [69] Krizhevsky Alex, Sutskever Ilya, and Hinton Geoffrey E.. 2012. Imagenet classification with deep convolutional neural networks. In Advances in Neural Information Processing Systems. 10971105.Google ScholarGoogle ScholarDigital LibraryDigital Library
  70. [70] Kumar Jeetendra. 2005. Use of pass transistor logic to minimize the impact of soft errors in combinational circuits. In Proceedings of the Workshop on System Effects of Logic Soft Errors.Google ScholarGoogle Scholar
  71. [71] Lang Lilial. et2020. A low temperature functioning CoFeB/MgO-based perpendicular magnetic tunnel junction for cryogenic nonvolatile random access memory. Appl. Phys. Lett. 116, 2 (2020).Google ScholarGoogle ScholarCross RefCross Ref
  72. [72] LeCun Yann, Bottou Léon, Bengio Yoshua, Haffner Patrick, et al. 1998. Gradient-based learning applied to document recognition. Proc. IEEE 86, 11 (1998), 22782324.Google ScholarGoogle ScholarCross RefCross Ref
  73. [73] Lee S. H., Park H. C., Kim M. S., Kim H. W., Choi M. R., Lee H. G., Seo J. W., Kim S. C., Kim S. G., Hong S. B., et al. 2011. Highly productive PCRAM technology platform and full chip operation: Based on 4F 2 (84nm pitch) cell scheme for 1 Gb and beyond. In Proceedings of the International Electron Devices Meeting. IEEE, 33.Google ScholarGoogle ScholarCross RefCross Ref
  74. [74] LEO Temperatures 2021. Retrieved from https://www.oreilly.com/library/view/diy-satellite-platforms/978144931 2756/ch01s05.html. Google ScholarGoogle Scholar
  75. [75] Leonov Vladimir. 2013. Thermoelectric energy harvesting of human body heat for wearable sensors. IEEE Sensors J. 13, 6 (2013), 22842291.Google ScholarGoogle ScholarCross RefCross Ref
  76. [76] Li Shuangchen, Xu Cong, Zou Qiaosha, Zhao Jishen, Lu Yu, and Xie Yuan. 2016. Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories. In Proceedings of the 53rd Annual Design Automation Conference. ACM, 173.Google ScholarGoogle ScholarDigital LibraryDigital Library
  77. [77] Liang Shuang, Yin Shouyi, Liu Leibo, Luk Wayne, and Wei Shaojun. 2018. FP-BNN: Binarized neural network on FPGA. Neurocomputing 275 (2018), 10721086.Google ScholarGoogle ScholarDigital LibraryDigital Library
  78. [78] Liu Daofu, Chen Tianshi, Liu Shaoli, Zhou Jinhong, Zhou Shengyuan, Teman Olivier, Feng Xiaobing, Zhou Xuehai, and Chen Yunji. 2015. Pudiannao: A polyvalent machine learning accelerator. In ACM SIGARCH Computer Architecture News, Vol. 43. ACM, 369381.Google ScholarGoogle Scholar
  79. [79] Liu Qingrui and Jung Changhee. 2016. Lightweight hardware support for transparent consistency-aware checkpointing in intermittent energy-harvesting systems. In Proceedings of the 5th Non-Volatile Memory Systems and Applications Symposium (NVMSA). IEEE, 16.Google ScholarGoogle ScholarCross RefCross Ref
  80. [80] Liu Yongpan, Li Zewei, Li Hehe, Wang Yiqun, Li Xueqing, Ma Kaisheng, Li Shuangchen, Chang Meng-Fan, John Sampson, Xie Yuan, et al. 2015. Ambient energy-harvesting nonvolatile processors: From circuit to system. In Proceedings of the 52nd Annual Design Automation Conference. ACM, 150.Google ScholarGoogle ScholarDigital LibraryDigital Library
  81. [81] Lowther R., Morris W., Gifford D., Duff D., and Fuller R.. 2011. Latchup immunity in high temperature bulk CMOS devices. In Proceedings of the Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT).000215000220.Google ScholarGoogle Scholar
  82. [82] Lucia Brandon, Balaji Vignesh, Colin Alexei, Maeng Kiwan, and Ruppel Emily. 2017. Intermittent computing: Challenges and opportunities. In Proceedings of the 2nd Summit on Advances in Programming Languages (SNAPL’17). Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik.Google ScholarGoogle Scholar
  83. [83] Lucia Brandon, Denby Brad, Manchester Zachary, Desai Harsh, Ruppel Emily, and Colin Alexei. 2021. Computational nanosatellite constellations: Opportunities and challenges. GetMobile: Mobile Comput. Commun. 25, 1 (2021), 1623.Google ScholarGoogle ScholarDigital LibraryDigital Library
  84. [84] Lucia Brandon and Ransford Benjamin. 2015. A simpler, safer programming and execution model for intermittent systems. In ACM SIGPLAN Notices, Vol. 50. ACM, 575585.Google ScholarGoogle Scholar
  85. [85] Lukosevicius Giedrius, Arreola Alberto Rodriguez, and Weddell Alex S.. 2017. Using sleep states to maximize the active time of transient computing systems. In Proceedings of the 5th ACM International Workshop on Energy-Harvesting and Energy-Neutral Sensing Systems. ACM, 3136.Google ScholarGoogle ScholarDigital LibraryDigital Library
  86. [86] Ma Kaisheng, Li Xueqing, Li Jinyang, Liu Yongpan, Xie Yuan, Sampson Jack, Kandemir Mahmut Taylan, and Narayanan Vijaykrishnan. 2017. Incidental computing on IoT nonvolatile processors. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’17). IEEE, 204218.Google ScholarGoogle ScholarDigital LibraryDigital Library
  87. [87] Ma Kaisheng, Li Xueqing, Liu Huichu, Sheng Xiao, Wang Yiqun, Swaminathan Karthik, Liu Yongpan, Xie Yuan, Sampson John, and Narayanan Vijaykrishnan. 2017. Dynamic power and energy management for energy-harvesting nonvolatile processor systems. ACM Trans. Embedded Comput. Syst. 16, 4 (2017), 123.Google ScholarGoogle ScholarDigital LibraryDigital Library
  88. [88] Ma Kaisheng, Zheng Yang, Li Shuangchen, Swaminathan Karthik, Li Xueqing, Liu Yongpan, Sampson Jack, Xie Yuan, and Narayanan Vijaykrishnan. 2015. Architecture exploration for ambient energy-harvesting nonvolatile processors. In Proceedings of the IEEE 21st International Symposium on High Performance Computer Architecture (HPCA’15). IEEE, 526537.Google ScholarGoogle ScholarCross RefCross Ref
  89. [89] Maeng Kiwan, Colin Alexei, and Lucia Brandon. 2017. Alpaca: Intermittent execution without checkpoints. Proc. ACM Program. Lang. 1 (2017), 96.Google ScholarGoogle ScholarDigital LibraryDigital Library
  90. [90] Maeng Kiwan and Lucia Brandon. 2018. Adaptive dynamic checkpointing for safe efficient intermittent computing. In Proceedings of the 13th USENIX Symposium on Operating Systems Design and Implementation (OSDI’18). 129144.Google ScholarGoogle Scholar
  91. [91] Manic Milos, Amarasinghe Kasun, Rodriguez-Andina Juan J., and Rieger Craig. 2016. Intelligent buildings of the future: Cyberaware, deep learning powered, and human interacting. IEEE Industr. Electron. Mag. 10, 4 (2016), 3249.Google ScholarGoogle ScholarCross RefCross Ref
  92. [92] Mavis David G. and Eaton Paul H.. 2002. Soft error rate mitigation techniques for modern microcircuits. In Proceedings of the 40th Annual IEEE International Reliability Physics Symposium. IEEE, 216225.Google ScholarGoogle ScholarCross RefCross Ref
  93. [93] Mizukami S., Watanabe D., Oogane M., Ando Y., Miura Y., Shirai M., and Miyazaki T.. 2009. Low damping constant for Co2 FeAl Heusler alloy films and its correlation with density of states. J. Appl. Phys. 105, 7 (2009), 07D306.Google ScholarGoogle ScholarCross RefCross Ref
  94. [94] Montoya Eric Arturo, Chen Jen-Ru, Ngelale Randy, Lee Han Kyu, Tseng Hsin-Wei, Wan Lei, Yang En, Braganca Patrick, Boyraz Ozdal, Bagherzadeh Nader, et al. 2020. Immunity of nanoscale magnetic tunnel junctions with perpendicular magnetic anisotropy to ionizing radiation. Sci. Rep. 10, 1 (2020), 18.Google ScholarGoogle ScholarCross RefCross Ref
  95. [95] Nicolaidis Michael. 1999. Time redundancy based soft-error tolerance to rescue nanometer technologies. In Proceedings of the 17th IEEE VLSI Test Symposium. IEEE, 8694.Google ScholarGoogle ScholarCross RefCross Ref
  96. [96] Noguchi Hiroki, Ikegami Kazutaka, Kushida Keiichi, Abe Keiko, Itai Shogo, Takaya Satoshi, Shimomura Naoharu, Ito Junichi, Kawasumi Atsushi, Hara Hiroyuki, et al. 2015. 7.5 A 3.3 ns-access-time 71.2 \(\mu\)W/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’15). IEEE, 13.Google ScholarGoogle Scholar
  97. [97] Oboril Fabian, Bishnoi Rajendra, Ebrahimi Mojtaba, and Tahoori Mehdi B.. 2015. Evaluation of hybrid memory technologies using SOT-MRAM for on-chip cache hierarchy. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 34, 3 (2015), 367380.Google ScholarGoogle ScholarDigital LibraryDigital Library
  98. [98] Oliveira Roystein, Jagirdar Aditya, and Chakraborty Tapan J.. 2007. A TMR scheme for SEU mitigation in scan flip-flops. In Proceedings of the 8th International Symposium on Quality Electronic Design (ISQED’07). IEEE, 905910.Google ScholarGoogle ScholarDigital LibraryDigital Library
  99. [99] Patra Bishnual. et2017. Cryo-CMOS circuits and systems for quantum computing applications. IEEE J. Solid-State Circ. 53, 1 (2017).Google ScholarGoogle ScholarCross RefCross Ref
  100. [100] Pawlowski J. Thomas. 2011. Hybrid memory cube (HMC). In Proceedings of the IEEE Hot Chips 23 Symposium (HCS’11). IEEE, 124.Google ScholarGoogle ScholarCross RefCross Ref
  101. [101] Qiu Keni, Jao Nicholas, Zhao Mengying, Mishra Cyan Subhra, Gudukbay Gulsum, Jose Sethu, Sampson Jack, Kandemir Mahmut Taylan, and Narayanan Vijaykrishnan. 2020. ResiRCA: A resilient energy-harvesting ReRAM crossbar-based accelerator for intelligent embedded processors. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’20). IEEE, 315327.Google ScholarGoogle ScholarCross RefCross Ref
  102. [102] Team R Core. 2016. R: A Language and Environment for Statistical Computing. R Foundation for Statistical Computing, Vienna, Austria. Retrieved from https://www.R-project.org/.Google ScholarGoogle Scholar
  103. [103] Ramadass Yogesh K. and Chandrakasan Anantha P.. 2007. Voltage scalable switched capacitor DC-DC converter for ultra-low-power on-chip applications. In Proceedings of the IEEE Power Electronics Specialists Conference. IEEE, 23532359.Google ScholarGoogle ScholarCross RefCross Ref
  104. [104] Ransford Benjamin, Sorber Jacob, and Fu Kevin. 2011. Mementos: System support for long-running computation on RFID-scale devices. In ACM SIGARCH Computer Architecture News, Vol. 39. ACM, 159170.Google ScholarGoogle Scholar
  105. [105] Ren Fanghui, Jander Albrecht, Dhagat Pallavi, and Nordman Cathy. 2012. Radiation tolerance of magnetic tunnel junctions with MgO tunnel barriers. IEEE Trans. Nuclear Sci. 59, 6 (2012), 30343038.Google ScholarGoogle ScholarCross RefCross Ref
  106. [106] Resch Salonik, Cilasun Husrev, and Karpuzcu Ulya. 2021. Cryogenic PIM: Challenges and opportunities. IEEE Comput. Architect. Lett. (2021).Google ScholarGoogle ScholarCross RefCross Ref
  107. [107] Resch Salonik, Khatamifard S. Karen, Chowdhury Zamshed I., Zabihi Masoud, Zhao Zhengyang, Cilasun Husrev, Wang Jian-Ping, Sapatnekar Sachin S., and Karpuzcu Ulya R.. 2020. MOUSE: Inference in non-volatile memory for energy-harvesting applications. In Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’20). IEEE, 400414.Google ScholarGoogle ScholarCross RefCross Ref
  108. [108] Resch Salonik, Khatamifard S. Karen, Chowdhury Zamshed Iqbal, Zabihi Masoud, Zhao Zhengyang, Wang Jian-Ping, Sapatnekar Sachin S., and Karpuzcu Ulya R.. 2019. PIMBALL: Binary neural networks in spintronic memory. ACM Trans. Architect. Code Optimiz. 16, 4 (2019), 41.Google ScholarGoogle ScholarDigital LibraryDigital Library
  109. [109] Rezaei Siavash, Kim Kanghee, and Bozorgzadeh Eli. 2018. Scalable multi-queue data transfer scheme for fpga-based multi-accelerators. In Proceedings of the IEEE 36th International Conference on Computer Design (ICCD’18). IEEE, 374380.Google ScholarGoogle ScholarCross RefCross Ref
  110. [110] Ruppel Emily and Lucia Brandon. 2019. Transactional concurrency control for intermittent, energy-harvesting computing systems. In Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation. 10851100.Google ScholarGoogle ScholarDigital LibraryDigital Library
  111. [111] Ruppel Emily and Lucia Brandon. 2019. Transactional concurrency control for intermittent, energy-harvesting computing systems. In Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation. ACM, 10851100.Google ScholarGoogle ScholarDigital LibraryDigital Library
  112. [112] Saida Daisuke, Kashiwada Saori, Yakabe Megumi, Daibou Tadaomi, Hase Naoki, Fukumoto Miyoshi, Miwa Shinji, Suzuki Yoshishige, Noguchi Hiroki, Fujita Shinobu, et al. 2016. Sub-3 ns pulse with sub-100 \(\mu\)A switching of 1x–2x nm perpendicular MTJ for high-performance embedded STT-MRAM towards sub-20 nm CMOS. In Proceedings of the IEEE Symposium on VLSI Technology. IEEE, 12.Google ScholarGoogle Scholar
  113. [113] Saida Daisuke, Kashiwada Saori, Yakabe Megumi, Daibou Tadaomi, Hase Naoki, Fukumoto Miyoshi, Miwa Shinji, Suzuki Yoshishige, Noguchi Hiroki, Fujita Shinobu, et al. 2016. Sub-3 ns pulse with sub-100 \(\mu\)A switching of 1x–2x nm perpendicular MTJ for high-performance embedded STT-MRAM towards sub-20 nm CMOS. In Proceedings of the IEEE Symposium on VLSI Technology. IEEE, 12.Google ScholarGoogle Scholar
  114. [114] Sample Alanson P., Yeager Daniel J., Powledge Pauline S., Mamishev Alexander V., and Smith Joshua R.. 2008. Design of an RFID-based battery-free programmable sensing platform. IEEE Trans. Instrument. Measure. 57, 11 (2008), 26082615.Google ScholarGoogle ScholarCross RefCross Ref
  115. [115] Miguel Joshua San, Ganesan Karthik, Badr Mario, Xia Chunqiu, Li Rose, Hsiao Hsuan, and Jerger Natalie Enright. 2018. The EH model: Early design space exploration of intermittent processor architectures. In Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’18). IEEE, 600612.Google ScholarGoogle ScholarDigital LibraryDigital Library
  116. [116] Sato H., Enobio E. C. I., Yamanouchi M., Ikeda S., Fukami S., Kanai S., Matsukura F., and Ohno H.. 2014. Properties of magnetic tunnel junctions with a MgO/CoFeB/Ta/CoFeB/MgO recording structure down to junction diameter of 11 nm. Appl. Phys. Lett. 105, 6 (2014), 062403.Google ScholarGoogle ScholarCross RefCross Ref
  117. [117] Sayil Selahattin. 2016. Soft Error Mechanisms, Modeling and Mitigation. Springer.Google ScholarGoogle ScholarDigital LibraryDigital Library
  118. [118] Sayil Selahattin. 2019. A survey of circuit-level soft error mitigation methodologies. Analog Integr. Circ. Signal Process. 99, 1 (2019), 6370.Google ScholarGoogle ScholarDigital LibraryDigital Library
  119. [119] Sayil Selahattin, Shah Archit H., Zaman Md Adnan, and Islam Mohammad A.. 2015. Soft error mitigation using transmission gate with varying gate and body bias. IEEE Design Test 34, 1 (2015), 4756.Google ScholarGoogle ScholarCross RefCross Ref
  120. [120] Schrimpf Ronald D. and Fleetwood Daniel M.. 2004. Radiation Effects and Soft Errors in Integrated Circuits and Electronic Devices, Vol. 34. World Scientific.Google ScholarGoogle ScholarCross RefCross Ref
  121. [121] Seok Mingoo, Hanson Scott, Lin Yu-Shiang, Foo Zhiyoong, Kim Daeyeon, Lee Yoonmyung, Liu Nurrachman, Sylvester Dennis, and Blaauw David. 2008. The phoenix processor: A 30pW platform for sensor applications. In Proceedings of the IEEE Symposium on VLSI Circuits. IEEE, 188189.Google ScholarGoogle Scholar
  122. [122] Seshadri Vivek, Lee Donghyuk, Mullins Thomas, Hassan Hasan, Boroumand Amirali, Kim Jeremie, Kozuch Michael A., Mutlu Onur, Gibbons Phillip B., and Mowry Todd C.. 2017. Ambit: In-memory accelerator for bulk bitwise operations using commodity DRAM technology. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 273287.Google ScholarGoogle ScholarDigital LibraryDigital Library
  123. [123] Shafiee Ali, Nag Anirban, Muralimanohar Naveen, Balasubramonian Rajeev, Strachan John Paul, Hu Miao, Williams R Stanley, and Srikumar Vivek. 2016. ISAAC: A convolutional neural network accelerator with in-situ analog arithmetic in crossbars. ACM SIGARCH Computer Architecture News 44, 3 (2016), 1426.Google ScholarGoogle ScholarDigital LibraryDigital Library
  124. [124] Shin Mal. et2014. Low temperature characterization of 14nm FDSOI CMOS devices. In Proceedings of the 11th International Workshop on Low Temperature Electronics (WOLTE’14).Google ScholarGoogle ScholarCross RefCross Ref
  125. [125] Singh Gagandeep, Chelini Lorenzo, Corda Stefano, Awan Ahsan Javed, Stuijk Sander, Jordans Roel, Corporaal Henk, and Boonstra Albert-Jan. 2018. A review of near-memory computing architectures: Opportunities and challenges. In Proceedings of the 21st Euromicro Conference on Digital System Design (DSD’18). IEEE, 608617.Google ScholarGoogle ScholarCross RefCross Ref
  126. [126] Su Fang, Chen Wei-Hao, Xia Lixue, Lo Chieh-Pu, Tang Tianqi, Wang Zhibo, Hsu Kuo-Hsiang, Cheng Ming, Li Jun-Yi, Xie Yuan, et al. 2017. A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy-harvesting IoE system featuring nonvolatile logics and processing-in-memory. In Proceedings of the Symposium on VLSI Technology. IEEE, T260–T261.Google ScholarGoogle Scholar
  127. [127] Sun Xiaoyu, Peng Xiaochen, Chen Pai-Yu, Liu Rui, Seo Jae-sun, and Yu Shimeng. 2018. Fully parallel RRAM synaptic array for implementing binary neural network with (+1, \(-1\)) weights and (+1, 0) neurons. In Proceedings of the 23rd Asia and South Pacific Design Automation Conference. IEEE Press, 574579.Google ScholarGoogle ScholarDigital LibraryDigital Library
  128. [128] Tang Tianqi, Xia Lixue, Li Boxun, Wang Yu, and Yang Huazhong. 2017. Binary convolutional neural network on RRAM. In Proceedings of the 22nd Asia and South Pacific Design Automation Conference (ASP-DAC’17). IEEE, 782787.Google ScholarGoogle ScholarDigital LibraryDigital Library
  129. [129] Tang Tianqi, Xia Lixue, Li Boxun, Wang Yu, and Yang Huazhong. 2017. Binary convolutional neural network on rram. In Proceedings of the 22nd Asia and South Pacific Design Automation Conference (ASP-DAC’17). IEEE, 782787.Google ScholarGoogle ScholarDigital LibraryDigital Library
  130. [130] Tang Tianqi, Xia Lixue, Li Boxun, Wang Yu, and Yang Huazhong. 2017. Binary convolutional neural network on RRAM. In Proceedings of the 22nd Asia and South Pacific Design Automation Conference (ASP-DAC’17). IEEE, 782787.Google ScholarGoogle ScholarDigital LibraryDigital Library
  131. [131] Torabzadehkashi Mahdi, Rezaei Siavash, Alves Vladimir, and Bagherzadeh Nader. 2018. Compstor: An in-storage computation platform for scalable distributed processing. In Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW’18). IEEE, 12601267.Google ScholarGoogle ScholarCross RefCross Ref
  132. [132] Torabzadehkashi Mahdi, Rezaei Siavash, Heydarigorji Ali, Bobarshad Hosein, Alves Vladimir, and Bagherzadeh Nader. 2019. Catalina: In-storage processing acceleration for scalable big data analytics. In Proceedings of the 27th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP’19). IEEE, 430437.Google ScholarGoogle ScholarCross RefCross Ref
  133. [133] UCI Machine Learning Repository 2019. Retrieved from https://archive.ics.uci.edu/ml/datasets/human+activity+recognition+using+smartphones. Google ScholarGoogle Scholar
  134. [134] Umuroglu Yaman, Fraser Nicholas J., Gambardella Giulio, Blott Michaela, Leong Philip, Jahre Magnus, and Vissers Kees. 2017. Finn: A framework for fast, scalable binarized neural network inference. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. ACM, 6574.Google ScholarGoogle ScholarDigital LibraryDigital Library
  135. [135] Valavi Hossein, Ramadge Peter J., Nestler Eric, and Verma Naveen. 2019. A 64-tile 2.4-Mb in-memory-computing CNN accelerator employing charge-domain compute. IEEE J. Solid-State Circ. 54, 6 (2019), 17891799.Google ScholarGoogle ScholarCross RefCross Ref
  136. [136] Woude Joel Van Der and Hicks Matthew. 2016. Intermittent computation without hardware support or programmer intervention. In Proceedings of the12th USENIX Symposium on Operating Systems Design and Implementation (OSDI’16). 1732.Google ScholarGoogle Scholar
  137. [137] Vasisht Deepak, Kapetanovic Zerina, Won Jongho, Jin Xinxin, Chandra Ranveer, Sinha Sudipta, Kapoor Ashish, Sudarshan Madhusudhan, and Stratman Sean. 2017. Farmbeats: An iot platform for data-driven agriculture. In Proceedings of the 14th USENIX Symposium on Networked Systems Design and Implementation (NSDI’17). 515529.Google ScholarGoogle Scholar
  138. [138] Wang Jingcheng, Wang Xiaowei, Eckert Charles, Subramaniyan Arun, Das Reetuparna, Blaauw David, and Sylvester Dennis. 2019. A 28-nm compute SRAM with bit-serial logic/arithmetic operations for programmable in-memory vector computing. IEEE J. Solid-State Circ. 55, 1 (2019), 7686.Google ScholarGoogle ScholarCross RefCross Ref
  139. [139] Wang Jian-Ping, Jamaliz Mahdi, Smith Angeline Klemm, and Zhao Zhengyang. 2016. Magnetic tunnel junction based integrated logics and computational circuits. In Nanomagnetic and Spintronic Devices for Energy-Efficient Memory and Computing. Wiley, 133.Google ScholarGoogle ScholarCross RefCross Ref
  140. [140] Wang Qiwen, Wang Xinxin, Lee Seung Hwan, Meng Fan-Hsuan, and Lu Wei D.. 2019. A deep neural network accelerator based on tiled RRAM architecture. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’19). IEEE, 14–4.Google ScholarGoogle ScholarCross RefCross Ref
  141. [141] Williams Harrison, Jian Xun, and Hicks Matthew. 2020. Forget failure: Exploiting SRAM data remanence for low-overhead intermittent computation. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 6984.Google ScholarGoogle ScholarDigital LibraryDigital Library
  142. [142] Wong H.-S. Philip, Lee Heng-Yuan, Yu Shimeng, Chen Yu-Sheng, Wu Yi, Chen Pang-Shiu, Lee Byoungil, Chen Frederick T., and Tsai Ming-Jinn. 2012. Metal–oxide RRAM. Proc. IEEE 100, 6 (2012), 19511970.Google ScholarGoogle ScholarCross RefCross Ref
  143. [143] Xia Lixue, Tang Tianqi, Huangfu Wenqin, Cheng Ming, Yin Xiling, Li Boxun, Wang Yu, and Yang Huazhong. 2016. Switched by input: Power-efficient structure for RRAM-based convolutional neural network. In Proceedings of the 53rd ACM/EDAC/IEEE Design Automation Conference (DAC’16). IEEE, 16.Google ScholarGoogle ScholarDigital LibraryDigital Library
  144. [144] Xia Lixue, Tang Tianqi, Huangfu Wenqin, Cheng Ming, Yin Xiling, Li Boxun, Wang Yu, and Yang Huazhong. 2016. Switched by input: Power-efficient structure for RRAM-based convolutional neural network. In Proceedings of the 53rd ACM/EDAC/IEEE Annual Design Automation Conference (DAC’16). ACM, 125.Google ScholarGoogle ScholarDigital LibraryDigital Library
  145. [145] Yamada Kodai, Maruoka Haruki, Furuta Jun, and Kobayashi Kazutoshi. 2018. Sensitivity to soft errors of NMOS and PMOS transistors evaluated by latches with stacking structures in a 65 nm FDSOI process. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS’18). IEEE, P–SE.Google ScholarGoogle ScholarDigital LibraryDigital Library
  146. [146] Yau Jeng-Bangal. et2017. Hybrid cryogenic memory cells for superconducting computing applications. In Proceedings of the 35th International Cosmic Ray Conference (ICRC’17).Google ScholarGoogle ScholarCross RefCross Ref
  147. [147] Yelten Mustafa Berke. [n.d.]. Cryogenic DC characteristics of low threshold voltage (VTH) n-channel MOSFETs. Balkan J. Electric. Comput. Eng. 7, 3 ([n.d.]).Google ScholarGoogle Scholar
  148. [148] Yu Shimeng, Li Zhiwei, Chen Pai-Yu, Wu Huaqiang, Gao Bin, Wang Deli, Wu Wei, and Qian He. 2016. Binary neural network with 16 Mb RRAM macro chip for classification and online training. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’16). IEEE, 16–2.Google ScholarGoogle ScholarCross RefCross Ref
  149. [149] Yu Shimeng, Li Zhiwei, Chen Pai-Yu, Wu Huaqiang, Gao Bin, Wang Deli, Wu Wei, and Qian He. 2016. Binary neural network with 16 Mb RRAM macro chip for classification and online training. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’16). IEEE, 16–2.Google ScholarGoogle ScholarCross RefCross Ref
  150. [150] Yuan L.al. et2006. Temperature dependence of magnetoresistance in magnetic tunnel junctions with different free layer structures. Phys. Rev. B 73, 13 (2006).Google ScholarGoogle ScholarCross RefCross Ref
  151. [151] Zabihi Masoud, Chowdhury Zamshed Iqbal, Zhao Zhengyang, Karpuzcu Ulya R., Wang Jian-Ping, and Sapatnekar Sachin S.. 2018. In-memory processing on the spintronic CRAM: From hardware design to application mapping. IEEE Trans. Comput. 68, 8 (2018), 11591173.Google ScholarGoogle ScholarDigital LibraryDigital Library
  152. [152] Zabihi Masoud, Sharma Arvind K., Mankalale Meghna G., Chowdhury Zamshed Iqbal, Zhao Zhengyang, Resch Salonik, Karpuzcu Ulya R., Wang Jian-Ping, and Sapatnekar Sachin S.. 2020. Analyzing the effects of interconnect parasitics in the STT CRAM in-memory computational platform. IEEE J. Explor. Solid-State Comput. Dev. Circ. 6, 1 (2020), 7179.Google ScholarGoogle ScholarCross RefCross Ref
  153. [153] Zabihi Masoud, Zhao Zhengyang, Mahendra D. C., Chowdhury Zamshed I., Resch Salonik, Peterson Thomas, Karpuzcu Ulya R., Wang Jian-Ping, and Sapatnekar Sachin S.. 2019. Using spin-Hall MTJs to build an energy-efficient in-memory computation platform. In Proceedings of the 20th International Symposium on Quality Electronic Design (ISQED). IEEE, 5257.Google ScholarGoogle ScholarCross RefCross Ref
  154. [154] Zajac Stephanie A., Bozovich Amanda N., Rax Bernard G., Davila Joe, Nguyen Duc, Parker Wilson P., Kenna Aaron J., McClure Steven S., Thomas Jason L., Stanford Kelly W., et al. [n.d.]. Updated compendium of total ionizing dose (TID) test results for the europa clipper mission. In Proceedings of the IEEE Radiation Effects Data Workshop (in Conjunction with 2020 NSREC). IEEE, 14.Google ScholarGoogle Scholar
  155. [155] Zhang Jintao and Verma Naveen. 2019. An in-memory-computing DNN achieving 700 TOPS/W and 6 TOPS/mm 2 in 130-nm CMOS. IEEE J. Emerg. Select. Top. Circ. Syst. 9, 2 (2019), 358366.Google ScholarGoogle ScholarCross RefCross Ref
  156. [156] Zhou Quming, Choudhury Mihir R., and Mohanram Kartik. 2008. Tunable transient filters for soft error rate reduction in combinational circuits. In Proceedings of the 13th European Test Symposium. IEEE, 179184.Google ScholarGoogle ScholarDigital LibraryDigital Library
  157. [157] Zhou Quming and Mohanram Kartik. 2005. Gate sizing to radiation harden combinational logic. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 25, 1 (2005), 155166.Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Energy-efficient and Reliable Inference in Nonvolatile Memory under Extreme Operating Conditions

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Embedded Computing Systems
      ACM Transactions on Embedded Computing Systems  Volume 21, Issue 5
      September 2022
      526 pages
      ISSN:1539-9087
      EISSN:1558-3465
      DOI:10.1145/3561947
      • Editor:
      • Tulika Mitra
      Issue’s Table of Contents

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 9 December 2022
      • Online AM: 4 March 2022
      • Accepted: 19 February 2022
      • Revised: 29 January 2022
      • Received: 15 July 2021
      Published in tecs Volume 21, Issue 5

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Full Text

    View this article in Full Text.

    View Full Text

    HTML Format

    View this article in HTML Format .

    View HTML Format