Abstract
Beyond-edge devices can operate outside the reach of the power grid and without batteries. Such devices can be deployed in large numbers in regions that are difficult to access. Using machine learning, these devices can solve complex problems and relay valuable information back to a host. Many such devices deployed in low Earth orbit can even be used as nanosatellites. Due to the harsh and unpredictable nature of the environment, these devices must be highly energy-efficient, be capable of operating intermittently over a wide temperature range, and be tolerant of radiation. Here, we propose a non-volatile processing-in-memory architecture that is extremely energy-efficient, supports minimal overhead checkpointing for intermittent computing, can operate in a wide range of temperatures, and has a natural resilience to radiation.
- [1] Everspin Technologies. 2019. Retrieved from https://www.everspin.com/supportdocs/EMD3D256M08G1-150CBS1.
Google Scholar - [2] Everspin Technologies. 2019. Retrieved from https://www.everspin.com/family/emd4e001g?npath=3557.
Google Scholar - [3] . 2015. A scalable processing-in-memory accelerator for parallel graph processing. In Proceedings of the 42nd Annual International Symposium on Computer Architecture. 105–117.Google ScholarDigital Library
- [4] . 2013. A public domain dataset for human activity recognition using smartphones. In Proceedings of the 21st European Symposium on Artificial Neural Networks (ESANN’13).Google Scholar
- [5] . 2014. Incremental checkpointing of program state to NVRAM for transiently powered systems. In Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC’14). IEEE, 1–4.Google Scholar
- [6] . 2016. Graceful performance modulation for power-neutral transient computing systems. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 35, 5 (2016), 738–749.Google ScholarDigital Library
- [7] . 2016. Hibernus++: A self-calibrating and adaptive system for transiently powered embedded devices. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 35, 12 (2016), 1968–1980.Google ScholarDigital Library
- [8] . 2014. Hibernus: Sustaining computation during intermittent supply for energy-harvesting systems. IEEE Embed. Syst. Lett. 7, 1 (2014), 15–18.Google ScholarDigital Library
- [9] . 2005. Modular thermal design concepts: Thermal design of a spacecraft on a module level for LEO missions.Google Scholar
- [10] . 2005. Soft errors in advanced computer systems. IEEE Design Test Comput. 22, 3 (2005), 258–266.Google ScholarDigital Library
- [11] . 2017. Europa mission update: Beyond payload selection. In Proceedings of the IEEE Aerospace Conference. IEEE, 1–12.Google ScholarCross Ref
- [12] . 2017. Peripheral state persistence for transiently-powered systems. In Proceedings of the Global Internet of Things Summit (GIoTS’17). IEEE, 1–6.Google ScholarCross Ref
- [13] . 2008. Next generation micro-power systems. In Proceedings of the IEEE Symposium on VLSI Circuits. IEEE, 2–5.Google ScholarCross Ref
- [14] . 2011. LIBSVM: A library for support vector machines. ACM Trans. Intell. Syst. Technol. 2, 3 (2011), 27.Google ScholarDigital Library
- [15] . 2017. Accelerator-friendly neural-network training: Learning variations and defects in RRAM crossbar. In Proceedings of the Conference on Design, Automation and Test in Europe. European Design and Automation Association, 19–24.Google ScholarCross Ref
- [16] . 2018. A high-throughput and energy-efficient RRAM-based convolutional neural network using data encoding and dynamic quantization. In Proceedings of the 23rd Asia and South Pacific Design Automation Conference (ASP-DAC’18). IEEE, 123–128.Google ScholarDigital Library
- [17] . 2017. Efficient in-memory processing using spintronics. IEEE Comput. Architect. Lett. 17, 1 (2017), 42–46.Google ScholarDigital Library
- [18] . 2016. Chain: Tasks and channels for reliable intermittent programs. In ACM SIGPLAN Notices, Vol. 51. ACM, 514–530.Google Scholar
- [19] . 2018. Termination checking and task decomposition for task-based intermittent programs. In Proceedings of the 27th International Conference on Compiler Construction. ACM, 116–127.Google ScholarDigital Library
- [20] . 2018. A reconfigurable energy storage architecture for energy-harvesting devices. In ACM SIGPLAN Notices, Vol. 53. ACM, 767–781.Google Scholar
- [21] . 2003. Effects of swift heavy ion bombardment on magnetic tunnel junction functional properties. J. Appl. Phys. 93, 10 (2003), 7301–7303.Google ScholarCross Ref
- [22] . 2018. XNOR neural engine: A hardware accelerator IP for 21.6-fJ/op binary neural network inference. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 37, 11 (2018), 2940–2951.Google ScholarCross Ref
- [23] . 2016. Binarized neural networks: Training deep neural networks with weights and activations constrained to +1 or \(-1\). Retrieved from https://arXiv:1602.02830.Google Scholar
- [24] . 2020. CRAFFT: High resolution FFT accelerator in spintronic computational RAM. In Proceedings of the 57th Annual ACM/IEEE Design Automation Conference.Google ScholarCross Ref
- [25] . 2020. Reliable timekeeping for intermittent computing. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 53–67.Google ScholarDigital Library
- [26] . 2019. Orbital edge computing: Machine inference in space. IEEE Comput. Architect. Lett. 18, 1 (2019), 59–62.Google ScholarCross Ref
- [27] . 2020. Orbital edge computing: Nanosatellite constellations as a new class of computer system. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 939–954.Google ScholarDigital Library
- [28] . 2007. Spin transfer switching in dual MgO magnetic tunnel junctions. Appl. Phys. Lett. 90, 13 (2007), 132508.Google ScholarCross Ref
- [29] . 2008. Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. In Proceedings of the 45th ACM/IEEE Design Automation Conference. IEEE, 554–559.Google ScholarDigital Library
- [30] . 2012. Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 31, 7 (2012), 994–1007.Google ScholarDigital Library
- [31] . 2015. Tunable damping, saturation magnetization, and exchange stiffness of half-Heusler NiMnSb thin films. Phys. Rev. B 92, 21 (2015), 214424.Google ScholarCross Ref
- [32] . 2018. Neural cache: Bit-serial in-cache acceleration of deep neural networks. In Proceedings of the 45th Annual International Symposium on Computer Architecture. IEEE Press, 383–396.Google ScholarDigital Library
- [33] . 2008. Design technique for mitigation of soft errors in differential switched-capacitor circuits. IEEE Trans. Circ. Syst. II: Express Briefs 55, 9 (2008), 838–842.Google ScholarCross Ref
- [34] . 2019. The what’s next intermittent computing architecture. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’19). IEEE, 211–223.Google ScholarCross Ref
- [35] . 2019. The what’s next intermittent computing architecture. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’19). IEEE, 211–223.Google ScholarCross Ref
- [36] . 2018. SOT-MRAM 300mm integration for low power and ultrafast embedded memories. In Proceedings of the IEEE Symposium on VLSI Circuits. IEEE, 81–82.Google ScholarCross Ref
- [37] . 2010. Present and future non-volatile memories for space. IEEE Trans. Nuclear Sci. 57, 6 (2010), 3016–3039.Google Scholar
- [38] . 2018. Intermittent Deep Neural Network Inference.Google Scholar
- [39] . 2019. Intelligence beyond the edge: Inference on intermittent embedded systems. In Proceedings of the 24th International Conference on Architectural Support for Programming Languages and Operating Systems. 199–213.Google ScholarDigital Library
- [40] . 2019. Manic: A vector-dataflow architecture for ultra-low-power embedded systems. In Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. 670–684.Google ScholarDigital Library
- [41] . 2016. Guest editorial deep learning in medical imaging: Overview and future promise of an exciting new technique. IEEE Trans. Med. Imag. 35, 5 (2016), 1153–1159.Google ScholarCross Ref
- [42] . 2001. MiBench: A free, commercially representative embedded benchmark suite. In Proceedings of the 4th Annual IEEE International Workshop on Workload Characterization (WWC’01). IEEE, 3–14.Google ScholarCross Ref
- [43] . 2014. A unified framework for capacitive series-parallel DC-DC converter design. In Proceedings of the IEEE Custom Integrated Circuits Conference. IEEE, 1–8.Google ScholarCross Ref
- [44] . 2011. Computer Architecture: A Quantitative Approach. Elsevier.Google ScholarDigital Library
- [45] . 2016. Amulet: An energy-efficient, multi-application wearable platform. In Proceedings of the 14th ACM Conference on Embedded Network Sensor Systems CD-ROM. ACM, 216–229.Google ScholarDigital Library
- [46] . 2015. Tragedy of the coulombs: Federating energy storage for tiny, intermittently-powered sensors. In Proceedings of the 13th ACM Conference on Embedded Networked Sensor Systems. ACM, 5–16.Google ScholarDigital Library
- [47] . 2017. Flicker: Rapid prototyping for the batteryless internet-of-things. In Proceedings of the 15th ACM Conference on Embedded Network Sensor Systems. ACM, 19.Google ScholarDigital Library
- [48] . 2017. Timely execution on intermittently powered batteryless sensors. In Proceedings of the 15th ACM Conference on Embedded Network Sensor Systems. ACM, 17.Google ScholarDigital Library
- [49] . 2017. Clank: Architectural support for intermittent computation. In Proceedings of the ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA’17). IEEE, 228–240.Google ScholarDigital Library
- [50] . 2019. Implementing binarized neural networks with magnetoresistive ram without error correction. In Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH’19). IEEE, 1–5.Google ScholarCross Ref
- [51] . 2015. STT-MRAM with double magnetic tunnel junctions. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’15). IEEE, 26–3.Google ScholarCross Ref
- [52] . 2020. Forming-free, fast, uniform, and high endurance resistive switching from cryogenic to high temperatures in W/AlO x/Al 2 O 3/Pt bilayer memristor. IEEE Electron Device Lett. 41, 4 (2020), 549–552.Google ScholarCross Ref
- [53] . 2012. Radiation studies of spin-transfer torque materials and devices. IEEE Trans. Nuclear Sci. 59, 6 (2012), 3027–3033.Google ScholarCross Ref
- [54] . 2014. Fixed-point feedforward deep neural network design using weights+ 1, 0, and- 1. In Proceedings of the IEEE Workshop on Signal Processing Systems (SiPS’14). IEEE, 1–6.Google ScholarCross Ref
- [55] . 2014. Demonstration of fully functional 8Mb perpendicular STT-MRAM chips with sub-5ns writing for non-volatile embedded memories. In Proceedings of the Symposium on VLSI Technology: Digest of Technical Papers. IEEE, 1–2.Google ScholarCross Ref
- [56] . 2014. QuickRecall: A low overhead HW/SW approach for enabling computations across power cycles in transiently powered computers. In Proceedings of the 27th International Conference on VLSI Design and 13th International Conference on Embedded Systems. IEEE, 330–335.Google ScholarDigital Library
- [57] . 2019. A programmable embedded microprocessor for bit-scalable in-memory computing. In Proceedings of the IEEE Hot Chips 31 Symposium (HCS’19). IEEE, 1–29.Google ScholarCross Ref
- [58] . 2015. Bluedbm: An appliance for big data analytics. In Proceedings of the ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA’15). IEEE, 1–13.Google ScholarDigital Library
- [59] . 2014. 23.3 A 3nW fully integrated energy harvester based on self-oscillating switched-capacitor DC-DC converter. In Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC’14). IEEE, 398–399.Google ScholarCross Ref
- [60] . 2013. Enabling cost-effective data processing with smart SSD. In Proceedings of the IEEE 29th Symposium on Mass Storage Systems and Technologies (MSST’13). IEEE, 1–12.Google ScholarCross Ref
- [61] . 2015. High temperature SOI CMOS technology and circuit realization for applications up to 300\(^{\circ }\)C. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’15). IEEE, 1162–1165.Google Scholar
- [62] . 2016. In-storage processing of database scans and joins. Info. Sci. 327 (2016), 183–200.Google ScholarDigital Library
- [63] . 2014. Ambient RF energy-harvesting technologies for self-sustainable standalone wireless sensor platforms. Proc. IEEE 102, 11 (2014), 1649–1666.Google ScholarCross Ref
- [64] . 2014. Ambient RF energy-harvesting technologies for self-sustainable standalone wireless sensor platforms. Proc. IEEE 102, 11 (2014), 1649–1666.Google ScholarCross Ref
- [65] . 2017. Soft errors in 10-nm-scale magnetic tunnel junctions exposed to high-energy heavy-ion radiation. Japan. J. Appl. Phys. 56, 8 (2017), 0802B4.Google ScholarCross Ref
- [66] . 2014. Influence of heavy ion irradiation on perpendicular-anisotropy CoFeB-MgO magnetic tunnel junctions. IEEE Trans. Nuclear Sci. 61, 4 (2014), 1710–1716.Google ScholarCross Ref
- [67] . 1996. Scaling up the accuracy of Naive-Bayes classifiers: A decision-tree hybrid. In Proceedings of the 2nd International Conference on Knowledge Discovery and Data Mining (KDD’96), Vol. 96. Citeseer, 202–207.Google Scholar
- [68] . 2020. Time-sensitive intermittent computing meets legacy software. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 85–99.Google ScholarDigital Library
- [69] . 2012. Imagenet classification with deep convolutional neural networks. In Advances in Neural Information Processing Systems. 1097–1105.Google ScholarDigital Library
- [70] . 2005. Use of pass transistor logic to minimize the impact of soft errors in combinational circuits. In Proceedings of the Workshop on System Effects of Logic Soft Errors.Google Scholar
- [71] 2020. A low temperature functioning CoFeB/MgO-based perpendicular magnetic tunnel junction for cryogenic nonvolatile random access memory. Appl. Phys. Lett. 116, 2 (2020).Google ScholarCross Ref
- [72] . 1998. Gradient-based learning applied to document recognition. Proc. IEEE 86, 11 (1998), 2278–2324.Google ScholarCross Ref
- [73] . 2011. Highly productive PCRAM technology platform and full chip operation: Based on 4F 2 (84nm pitch) cell scheme for 1 Gb and beyond. In Proceedings of the International Electron Devices Meeting. IEEE, 3–3.Google ScholarCross Ref
- [74] LEO Temperatures 2021. Retrieved from https://www.oreilly.com/library/view/diy-satellite-platforms/978144931 2756/ch01s05.html.
Google Scholar - [75] . 2013. Thermoelectric energy harvesting of human body heat for wearable sensors. IEEE Sensors J. 13, 6 (2013), 2284–2291.Google ScholarCross Ref
- [76] . 2016. Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories. In Proceedings of the 53rd Annual Design Automation Conference. ACM, 173.Google ScholarDigital Library
- [77] . 2018. FP-BNN: Binarized neural network on FPGA. Neurocomputing 275 (2018), 1072–1086.Google ScholarDigital Library
- [78] . 2015. Pudiannao: A polyvalent machine learning accelerator. In ACM SIGARCH Computer Architecture News, Vol. 43. ACM, 369–381.Google Scholar
- [79] . 2016. Lightweight hardware support for transparent consistency-aware checkpointing in intermittent energy-harvesting systems. In Proceedings of the 5th Non-Volatile Memory Systems and Applications Symposium (NVMSA). IEEE, 1–6.Google ScholarCross Ref
- [80] . 2015. Ambient energy-harvesting nonvolatile processors: From circuit to system. In Proceedings of the 52nd Annual Design Automation Conference. ACM, 150.Google ScholarDigital Library
- [81] . 2011. Latchup immunity in high temperature bulk CMOS devices. In Proceedings of the Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT).000215–000220.Google Scholar
- [82] . 2017. Intermittent computing: Challenges and opportunities. In Proceedings of the 2nd Summit on Advances in Programming Languages (SNAPL’17). Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik.Google Scholar
- [83] . 2021. Computational nanosatellite constellations: Opportunities and challenges. GetMobile: Mobile Comput. Commun. 25, 1 (2021), 16–23.Google ScholarDigital Library
- [84] . 2015. A simpler, safer programming and execution model for intermittent systems. In ACM SIGPLAN Notices, Vol. 50. ACM, 575–585.Google Scholar
- [85] . 2017. Using sleep states to maximize the active time of transient computing systems. In Proceedings of the 5th ACM International Workshop on Energy-Harvesting and Energy-Neutral Sensing Systems. ACM, 31–36.Google ScholarDigital Library
- [86] . 2017. Incidental computing on IoT nonvolatile processors. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’17). IEEE, 204–218.Google ScholarDigital Library
- [87] . 2017. Dynamic power and energy management for energy-harvesting nonvolatile processor systems. ACM Trans. Embedded Comput. Syst. 16, 4 (2017), 1–23.Google ScholarDigital Library
- [88] . 2015. Architecture exploration for ambient energy-harvesting nonvolatile processors. In Proceedings of the IEEE 21st International Symposium on High Performance Computer Architecture (HPCA’15). IEEE, 526–537.Google ScholarCross Ref
- [89] . 2017. Alpaca: Intermittent execution without checkpoints. Proc. ACM Program. Lang. 1 (2017), 96.Google ScholarDigital Library
- [90] . 2018. Adaptive dynamic checkpointing for safe efficient intermittent computing. In Proceedings of the 13th USENIX Symposium on Operating Systems Design and Implementation (OSDI’18). 129–144.Google Scholar
- [91] . 2016. Intelligent buildings of the future: Cyberaware, deep learning powered, and human interacting. IEEE Industr. Electron. Mag. 10, 4 (2016), 32–49.Google ScholarCross Ref
- [92] . 2002. Soft error rate mitigation techniques for modern microcircuits. In Proceedings of the 40th Annual IEEE International Reliability Physics Symposium. IEEE, 216–225.Google ScholarCross Ref
- [93] . 2009. Low damping constant for Co2 FeAl Heusler alloy films and its correlation with density of states. J. Appl. Phys. 105, 7 (2009), 07D306.Google ScholarCross Ref
- [94] . 2020. Immunity of nanoscale magnetic tunnel junctions with perpendicular magnetic anisotropy to ionizing radiation. Sci. Rep. 10, 1 (2020), 1–8.Google ScholarCross Ref
- [95] . 1999. Time redundancy based soft-error tolerance to rescue nanometer technologies. In Proceedings of the 17th IEEE VLSI Test Symposium. IEEE, 86–94.Google ScholarCross Ref
- [96] . 2015. 7.5 A 3.3 ns-access-time 71.2 \(\mu\)W/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’15). IEEE, 1–3.Google Scholar
- [97] . 2015. Evaluation of hybrid memory technologies using SOT-MRAM for on-chip cache hierarchy. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 34, 3 (2015), 367–380.Google ScholarDigital Library
- [98] . 2007. A TMR scheme for SEU mitigation in scan flip-flops. In Proceedings of the 8th International Symposium on Quality Electronic Design (ISQED’07). IEEE, 905–910.Google ScholarDigital Library
- [99] 2017. Cryo-CMOS circuits and systems for quantum computing applications. IEEE J. Solid-State Circ. 53, 1 (2017).Google ScholarCross Ref
- [100] . 2011. Hybrid memory cube (HMC). In Proceedings of the IEEE Hot Chips 23 Symposium (HCS’11). IEEE, 1–24.Google ScholarCross Ref
- [101] . 2020. ResiRCA: A resilient energy-harvesting ReRAM crossbar-based accelerator for intelligent embedded processors. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’20). IEEE, 315–327.Google ScholarCross Ref
- [102] . 2016. R: A Language and Environment for Statistical Computing. R Foundation for Statistical Computing, Vienna, Austria. Retrieved from https://www.R-project.org/.Google Scholar
- [103] . 2007. Voltage scalable switched capacitor DC-DC converter for ultra-low-power on-chip applications. In Proceedings of the IEEE Power Electronics Specialists Conference. IEEE, 2353–2359.Google ScholarCross Ref
- [104] . 2011. Mementos: System support for long-running computation on RFID-scale devices. In ACM SIGARCH Computer Architecture News, Vol. 39. ACM, 159–170.Google Scholar
- [105] . 2012. Radiation tolerance of magnetic tunnel junctions with MgO tunnel barriers. IEEE Trans. Nuclear Sci. 59, 6 (2012), 3034–3038.Google ScholarCross Ref
- [106] . 2021. Cryogenic PIM: Challenges and opportunities. IEEE Comput. Architect. Lett. (2021).Google ScholarCross Ref
- [107] . 2020. MOUSE: Inference in non-volatile memory for energy-harvesting applications. In Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’20). IEEE, 400–414.Google ScholarCross Ref
- [108] . 2019. PIMBALL: Binary neural networks in spintronic memory. ACM Trans. Architect. Code Optimiz. 16, 4 (2019), 41.Google ScholarDigital Library
- [109] . 2018. Scalable multi-queue data transfer scheme for fpga-based multi-accelerators. In Proceedings of the IEEE 36th International Conference on Computer Design (ICCD’18). IEEE, 374–380.Google ScholarCross Ref
- [110] . 2019. Transactional concurrency control for intermittent, energy-harvesting computing systems. In Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation. 1085–1100.Google ScholarDigital Library
- [111] . 2019. Transactional concurrency control for intermittent, energy-harvesting computing systems. In Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation. ACM, 1085–1100.Google ScholarDigital Library
- [112] . 2016. Sub-3 ns pulse with sub-100 \(\mu\)A switching of 1x–2x nm perpendicular MTJ for high-performance embedded STT-MRAM towards sub-20 nm CMOS. In Proceedings of the IEEE Symposium on VLSI Technology. IEEE, 1–2.Google Scholar
- [113] . 2016. Sub-3 ns pulse with sub-100 \(\mu\)A switching of 1x–2x nm perpendicular MTJ for high-performance embedded STT-MRAM towards sub-20 nm CMOS. In Proceedings of the IEEE Symposium on VLSI Technology. IEEE, 1–2.Google Scholar
- [114] . 2008. Design of an RFID-based battery-free programmable sensing platform. IEEE Trans. Instrument. Measure. 57, 11 (2008), 2608–2615.Google ScholarCross Ref
- [115] . 2018. The EH model: Early design space exploration of intermittent processor architectures. In Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’18). IEEE, 600–612.Google ScholarDigital Library
- [116] . 2014. Properties of magnetic tunnel junctions with a MgO/CoFeB/Ta/CoFeB/MgO recording structure down to junction diameter of 11 nm. Appl. Phys. Lett. 105, 6 (2014), 062403.Google ScholarCross Ref
- [117] . 2016. Soft Error Mechanisms, Modeling and Mitigation. Springer.Google ScholarDigital Library
- [118] . 2019. A survey of circuit-level soft error mitigation methodologies. Analog Integr. Circ. Signal Process. 99, 1 (2019), 63–70.Google ScholarDigital Library
- [119] . 2015. Soft error mitigation using transmission gate with varying gate and body bias. IEEE Design Test 34, 1 (2015), 47–56.Google ScholarCross Ref
- [120] . 2004. Radiation Effects and Soft Errors in Integrated Circuits and Electronic Devices, Vol. 34. World Scientific.Google ScholarCross Ref
- [121] . 2008. The phoenix processor: A 30pW platform for sensor applications. In Proceedings of the IEEE Symposium on VLSI Circuits. IEEE, 188–189.Google Scholar
- [122] . 2017. Ambit: In-memory accelerator for bulk bitwise operations using commodity DRAM technology. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 273–287.Google ScholarDigital Library
- [123] . 2016. ISAAC: A convolutional neural network accelerator with in-situ analog arithmetic in crossbars. ACM SIGARCH Computer Architecture News 44, 3 (2016), 14–26.Google ScholarDigital Library
- [124] 2014. Low temperature characterization of 14nm FDSOI CMOS devices. In Proceedings of the 11th International Workshop on Low Temperature Electronics (WOLTE’14).Google ScholarCross Ref
- [125] . 2018. A review of near-memory computing architectures: Opportunities and challenges. In Proceedings of the 21st Euromicro Conference on Digital System Design (DSD’18). IEEE, 608–617.Google ScholarCross Ref
- [126] . 2017. A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy-harvesting IoE system featuring nonvolatile logics and processing-in-memory. In Proceedings of the Symposium on VLSI Technology. IEEE, T260–T261.Google Scholar
- [127] . 2018. Fully parallel RRAM synaptic array for implementing binary neural network with (+1, \(-1\)) weights and (+1, 0) neurons. In Proceedings of the 23rd Asia and South Pacific Design Automation Conference. IEEE Press, 574–579.Google ScholarDigital Library
- [128] . 2017. Binary convolutional neural network on RRAM. In Proceedings of the 22nd Asia and South Pacific Design Automation Conference (ASP-DAC’17). IEEE, 782–787.Google ScholarDigital Library
- [129] . 2017. Binary convolutional neural network on rram. In Proceedings of the 22nd Asia and South Pacific Design Automation Conference (ASP-DAC’17). IEEE, 782–787.Google ScholarDigital Library
- [130] . 2017. Binary convolutional neural network on RRAM. In Proceedings of the 22nd Asia and South Pacific Design Automation Conference (ASP-DAC’17). IEEE, 782–787.Google ScholarDigital Library
- [131] . 2018. Compstor: An in-storage computation platform for scalable distributed processing. In Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW’18). IEEE, 1260–1267.Google ScholarCross Ref
- [132] . 2019. Catalina: In-storage processing acceleration for scalable big data analytics. In Proceedings of the 27th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP’19). IEEE, 430–437.Google ScholarCross Ref
- [133] UCI Machine Learning Repository 2019. Retrieved from https://archive.ics.uci.edu/ml/datasets/human+activity+recognition+using+smartphones.
Google Scholar - [134] . 2017. Finn: A framework for fast, scalable binarized neural network inference. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. ACM, 65–74.Google ScholarDigital Library
- [135] . 2019. A 64-tile 2.4-Mb in-memory-computing CNN accelerator employing charge-domain compute. IEEE J. Solid-State Circ. 54, 6 (2019), 1789–1799.Google ScholarCross Ref
- [136] . 2016. Intermittent computation without hardware support or programmer intervention. In Proceedings of the12th USENIX Symposium on Operating Systems Design and Implementation (OSDI’16). 17–32.Google Scholar
- [137] . 2017. Farmbeats: An iot platform for data-driven agriculture. In Proceedings of the 14th USENIX Symposium on Networked Systems Design and Implementation (NSDI’17). 515–529.Google Scholar
- [138] . 2019. A 28-nm compute SRAM with bit-serial logic/arithmetic operations for programmable in-memory vector computing. IEEE J. Solid-State Circ. 55, 1 (2019), 76–86.Google ScholarCross Ref
- [139] . 2016. Magnetic tunnel junction based integrated logics and computational circuits. In Nanomagnetic and Spintronic Devices for Energy-Efficient Memory and Computing. Wiley, 133.Google ScholarCross Ref
- [140] . 2019. A deep neural network accelerator based on tiled RRAM architecture. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’19). IEEE, 14–4.Google ScholarCross Ref
- [141] . 2020. Forget failure: Exploiting SRAM data remanence for low-overhead intermittent computation. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 69–84.Google ScholarDigital Library
- [142] . 2012. Metal–oxide RRAM. Proc. IEEE 100, 6 (2012), 1951–1970.Google ScholarCross Ref
- [143] . 2016. Switched by input: Power-efficient structure for RRAM-based convolutional neural network. In Proceedings of the 53rd ACM/EDAC/IEEE Design Automation Conference (DAC’16). IEEE, 1–6.Google ScholarDigital Library
- [144] . 2016. Switched by input: Power-efficient structure for RRAM-based convolutional neural network. In Proceedings of the 53rd ACM/EDAC/IEEE Annual Design Automation Conference (DAC’16). ACM, 125.Google ScholarDigital Library
- [145] . 2018. Sensitivity to soft errors of NMOS and PMOS transistors evaluated by latches with stacking structures in a 65 nm FDSOI process. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS’18). IEEE, P–SE.Google ScholarDigital Library
- [146] 2017. Hybrid cryogenic memory cells for superconducting computing applications. In Proceedings of the 35th International Cosmic Ray Conference (ICRC’17).Google ScholarCross Ref
- [147] . [n.d.]. Cryogenic DC characteristics of low threshold voltage (VTH) n-channel MOSFETs. Balkan J. Electric. Comput. Eng. 7, 3 ([n.d.]).Google Scholar
- [148] . 2016. Binary neural network with 16 Mb RRAM macro chip for classification and online training. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’16). IEEE, 16–2.Google ScholarCross Ref
- [149] . 2016. Binary neural network with 16 Mb RRAM macro chip for classification and online training. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’16). IEEE, 16–2.Google ScholarCross Ref
- [150] 2006. Temperature dependence of magnetoresistance in magnetic tunnel junctions with different free layer structures. Phys. Rev. B 73, 13 (2006).Google ScholarCross Ref
- [151] . 2018. In-memory processing on the spintronic CRAM: From hardware design to application mapping. IEEE Trans. Comput. 68, 8 (2018), 1159–1173.Google ScholarDigital Library
- [152] . 2020. Analyzing the effects of interconnect parasitics in the STT CRAM in-memory computational platform. IEEE J. Explor. Solid-State Comput. Dev. Circ. 6, 1 (2020), 71–79.Google ScholarCross Ref
- [153] . 2019. Using spin-Hall MTJs to build an energy-efficient in-memory computation platform. In Proceedings of the 20th International Symposium on Quality Electronic Design (ISQED). IEEE, 52–57.Google ScholarCross Ref
- [154] . [n.d.]. Updated compendium of total ionizing dose (TID) test results for the europa clipper mission. In Proceedings of the IEEE Radiation Effects Data Workshop (in Conjunction with 2020 NSREC). IEEE, 1–4.Google Scholar
- [155] . 2019. An in-memory-computing DNN achieving 700 TOPS/W and 6 TOPS/mm 2 in 130-nm CMOS. IEEE J. Emerg. Select. Top. Circ. Syst. 9, 2 (2019), 358–366.Google ScholarCross Ref
- [156] . 2008. Tunable transient filters for soft error rate reduction in combinational circuits. In Proceedings of the 13th European Test Symposium. IEEE, 179–184.Google ScholarDigital Library
- [157] . 2005. Gate sizing to radiation harden combinational logic. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 25, 1 (2005), 155–166.Google ScholarDigital Library
Index Terms
- Energy-efficient and Reliable Inference in Nonvolatile Memory under Extreme Operating Conditions
Recommendations
On Endurance of Processing in (Nonvolatile) Memory
ISCA '23: Proceedings of the 50th Annual International Symposium on Computer ArchitectureProcessing-in-Memory (PIM) architectures have gained popularity due to their ability to alleviate the memory wall by performing large numbers of operations within the memory itself. On top of this, nonvolatile memory (NVM) technologies offer highly ...
A durable and energy efficient main memory using phase change memory technology
ISCA '09: Proceedings of the 36th annual international symposium on Computer architectureUsing nonvolatile memories in memory hierarchy has been investigated to reduce its energy consumption because nonvolatile memories consume zero leakage power in memory cells. One of the difficulties is, however, that the endurance of most nonvolatile ...
Hybrid nonvolatile disk cache for energy-efficient and high-performance systems
Special section on adaptive power management for energy and temperature-aware computing systemsNAND flash memory has been employed as disk cache in recent years. It has the advantages of high performance, low leakage power, and cost efficiency. However, flash memory's performance is limited by the inability of in-place updates, coarse access ...
Comments