skip to main content
10.1145/996566.996754acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Heterogeneous MP-SoC: the solution to energy-efficient signal processing

Published:07 June 2004Publication History

ABSTRACT

To meet conflicting flexibility, performance and cost constraints of demanding signal processing applications, future designs in this domain will contain an increasing number of application specific programmable units combined with complex communication and memory infrastructures. Novel architecture trends like Application Specific Instruction-set Processors (ASIPs) as well as customized buses and Network-on-Chip based communication promise enormous potential for optimization. However, state-of-the-art tooling and design practice is not in a shape to take advantage of this advances in computer architecture and silicon technology. Currently, EDA industry develops two diverging strategies to cope with the design complexity of such application specific, heterogeneous MP-SoC platforms. First, the IP-driven approach emphasizes the composition of MP-SoC platforms from configurable off-the-shelf Intellectual Property blocks. On the other hand, the design-driven approach strives to take design efficiency to the required level by use of system level design methodologies and IP generation tools. In this paper, we discuss technical and economical aspects of both strategies. Based on the analysis of recent trends in computer architecture and system level design, we envision a hand-in-hand approach of signal processing platform architectures and design metholodgy to conquer the complexity crisis in emerging MP-SoC developments.

References

  1. Arteris Unveils Strategy, Technology for enabling Network on Chip (NoC) Design. Press Release, March 2003.Google ScholarGoogle Scholar
  2. A. Cochrane, C. Lennard, K. Topping, S. Klostermann, N. Weyrich, K. Ahluwalia. AMBA AHB Cycle Level Interface (AHB CLI) Specification, 2003.Google ScholarGoogle Scholar
  3. A. Hofmann, H. Meyr, R. Leupers. Architecture Exploration for Embedded Processors with LISA. Kluwer Academic Publishers, 2002. ISBN 1-4020-7338-0. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. Nohl, G. Braun, A. Hoffmann, O. Schliebusch, R. Leupers, H. Meyr. A Universal Technique for Fast and Flexible Instruction-Set Architecture Simulation. In Proceedings of the Design Automation Conference (DAC), 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. A. Wieferink, T. Kogel, R. Leupers, G. Ascheid, H. Meyr, G. Braun, A. Nohl. A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platforms. In "Proc. Int. Conf. on Design, Automation and Test in Europe(DATE)", Februry 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. A.D. Pimentel, C. Erbas. An IDF based Trace Transformation Method for Communication Refinement. In Proceedings of the Design Automation Conference (DAC), June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. T. Agerwala. Systems Trends and their Impact on Future Microprocessor Design. Keynote of 35th Annual International Symposium on Microarchitecture, November 2002.Google ScholarGoogle Scholar
  8. B. Bailey. Property Based Verification for SoC. Int. Symp. on System-on-Chip (SoC), November 2003. Invited Talk.Google ScholarGoogle Scholar
  9. C. J. Glossner, T. Raja, E. Hokenek, M. Moudgill. A Multithreaded Processor Architecture for SDR. Proceedings of the Korean Institute of Communication Sciences, 19(11):70--85, November 2002.Google ScholarGoogle Scholar
  10. J. Connel and B. Johnson. Early hardware/software integration using systemc 2.0, 2002.Google ScholarGoogle Scholar
  11. ConvergenSC. CoWare, http://www.coware.com.Google ScholarGoogle Scholar
  12. D. Gajski. Transaction Level Modeling. In "Proc. of the IEEE/ACM/IFIP Int. Conference on Hardware/Software Codesign and System Synthesis", 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. D. Gajski, J. Zhu, R. Domer, A.Gerstlauer, S. Zhao. SpecC: Specification Language and Methodology. Kluwer Academic Publishers, 2000.Google ScholarGoogle ScholarCross RefCross Ref
  14. F. Balarin, Y. Watanabe, H. Hsieh, L. Lavagno, C. Passerone, A. Sangiovanni-Vincentelli. Metropolis: An integrated electronic system design environment. IEEE Computer, 36(4):45--52, April 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. G. Fettweis. Embedded vector signal processor design. In "Proc. Int. Workshop on Systems, Architecturs, Modeling and Simulation(SAMOS)", July 2003.Google ScholarGoogle Scholar
  16. H. Blume, H. Hubert, H. T. Feldkamper, T. G. Noll. Model-Based Exploration of the Design Space for Heterogeneous Systems on Chip. In Proceedings of the IEEE Conference on Application Specific Architectures and Processors, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. H. Yu, A. Gerstlauer, D. Gajski. RTOS Scheduling in Transaction Level Models. In "Proc. of the IEEE/ACM/IFIP Int. Conference on Hardware/Software Codesign and System Synthesis", 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. M. G. J. Madsen, K. Virk. Abstract RTOS modelling for multiprocessor system-on-chip. In International Symposium on System-on-Chip, pages 147--150. IEEE, Nov 2003.Google ScholarGoogle Scholar
  19. K. Goossens, J. van Meerbergen, A. Peters, P. Wielage. Networks on Silicon: Combining Best-Effort and Guaranteed Services. In "Proc. Int. Conf. on Design, Automation and Test in Europe(DATE)", 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. K. Keutzer, S. Malik, A.R. Newton, J.M. Rabaey, A. Sangiovanni-Vincentelli. System-level design: Orthogonalization of concerns and platform-based design. IEEE Transactions on Computer-Aided Desig of Integrated Circuits and Systems, 19(12):1523--1543, December 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. L. Benini, G. De Micheli. Networks on Chips: A New SoC Paradigm. IEEE Computer, pages 70--78, January 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. M.-A. Dziri, W. Cesrio, F.R. Wagner, A.A. Jerraya. Unified Component Integration Flow for Multi-Processor SoC Design and Validation. In "Proc. Int. Conf. on Design, Automation and Test in Europe(DATE)", 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. M, Ariyamparambath, D. Bussaglia, B. Reinkemeier, T. Kogel, T. Kempf. A Highly Efficient Modeling Style for Heterogeneous Bus Architectures. In "Proc. IEEE Int. Symp. on System-on-Chip (SoC)", November 2003.Google ScholarGoogle Scholar
  24. M. Grammatikakis, M. Coppola, F. Sensini. Software for Multiprocessor Networks on Chip, chapter 14, pages 281--303. Kluwer Academic Publishers, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. M.J. Rutten, J.T.J. van Eijndhoven, E.G.T. Jaspers, P. van der Wolf, O.P. Gangwal, A. Timmer, E.-J.D. Pol. A Heterogeneous Multiprocessor Architecture for Flexible Media Processing. IEEE Design & Test of Computers, 19(5):39--50, July-August 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. O. Ogawa, K. Shinohara, Y. Watanabe, H. Niizuma, T. Sasaki, Y. Takai, S. Bayon de Noyer and P. Chauvet. A Practical Approach for Bus Architecture Optimization at Transaction Level. In "Proc. Designers' Forum, Int. Conf. on Design, Automation and Test in Europe(DATE)", 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Open Core Protocol International Partnership (OCP-IP). OCP datasheet, http://www.ocpip.org.Google ScholarGoogle Scholar
  28. P. Magarshack, P. Paulin. System-on-chip Beyond the Nanometer Wall. In Proceedings of the Design Automation Conference (DAC), 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. R. Subramanian, U. Jha, J. Medlock, C. Woodthorpe, K. Rieken. Novel Application-Specific Signal Processing Architectures for Wideband CDMA and TDMA Applications. In Proc. of the IEEE Vehicular Technology Conference (VTC), 2000.Google ScholarGoogle ScholarCross RefCross Ref
  30. S. Lakshmanamurthy, K.-Y. Liu, Y. Pun, L. Huston, U. Naik. Network Processor Performance Analysis Methodology. Intel Technology Journal, 6(3), Aug. 2002.Google ScholarGoogle Scholar
  31. SystemC initiative. http://www.systemc.org.Google ScholarGoogle Scholar
  32. T. Gloekler, H. Meyr. Design of Energy-Efficient Application-Specific Instruction Set Processors. Kluwer Academic Publishers, 2004. ISBN 1-4020-7730-0. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. T. Grotker, S. Liao, G. Martin, S. Swan. System Design with SystemC. Kluwer Academic Publishers, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. T. Kogel, A. Wieferink, R. Leupers, Gerd Ascheid, H. Meyr, D. Bussaglia, M. Ariyamparambath. Virtual Architecture Mapping: A SystemC based Methodology for Architectural Exploration of System-on-Chip Designs. In "Proc. Int. Workshop on Systems, Architecturs, Modeling and Simulation(SAMOS)", July 2003.Google ScholarGoogle Scholar
  35. T. Kogel, M. Doerper, A. Wieferink, R. Leupers, G. Ascheid, H. Meyr, and S. Goossens. A Modular Simulation Framework for Architectural Exploration of On-Chip Interconnection Networks. In CODES+ISSS, October 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. T.A.C.M. Claasen. High Speed: Not the Only Way to Exploit the Intrinsic Computational Power of Silicon. In In Proceedings of the International Solid-State Circuits Conference, 1999.Google ScholarGoogle Scholar
  37. Tensilica. http://www.tensilica.com.Google ScholarGoogle Scholar
  38. D. M. Tullsen, S. Eggers, and H. M. Levy. Simultaneous multithreading: Maximizing on-chip parallelism. In Proceedings of the 22nd Annual International Symposium on Computer Architecture, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Heterogeneous MP-SoC: the solution to energy-efficient signal processing

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '04: Proceedings of the 41st annual Design Automation Conference
        June 2004
        1002 pages
        ISBN:1581138288
        DOI:10.1145/996566
        • General Chair:
        • Sharad Malik,
        • Program Chairs:
        • Limor Fix,
        • Andrew B. Kahng

        Copyright © 2004 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 7 June 2004

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader