Skip to main content

An Alternate Approach to Buffer Insertion for Delay and Power Reduction in VLSI Interconnects

Buy Article:

$107.14 + tax (Refund Policy)

In VLSI interconnects, buffers are used to restore the signal level affected by the parasitic such as line capacitance, inductance, etc.. However buffers have a certain switching time that contributes to overall signal delay. Further, transitions that occur in interconnects give rise to crosstalk delay. Thus the overall delay in interconnects is due to the combined effect of both buffers and the crosstalk delay. In this work, replacement of buffers with Schmitt trigger is proposed for signal restoration. Since the threshold voltage of Schmitt trigger can be designed to be lower than that of buffer, signal can rise early while a large noise margin of Schmitt trigger helps in reducing the noise glitches due to crosstalk. Simulation results show that the Schmitt trigger approach gives 20% delay reduction as compared to 10.4% in case of buffer.

Keywords: BUFFER INSERTION; DELAY REDUCTION; POWER REDUCTION

Document Type: Research Article

Publication date: 01 October 2010

More about this publication?
  • The electronic systems that can operate with very low power are of great technological interest. The growing research activity in the field of low power electronics requires a forum for rapid dissemination of important results: Journal of Low Power Electronics (JOLPE) is that international forum which offers scientists and engineers timely, peer-reviewed research in this field.
  • Editorial Board
  • Information for Authors
  • Subscribe to this Title
  • Terms & Conditions
  • Ingenta Connect is not responsible for the content or availability of external websites
  • Access Key
  • Free content
  • Partial Free content
  • New content
  • Open access content
  • Partial Open access content
  • Subscribed content
  • Partial Subscribed content
  • Free trial content