Skip to main content
Log in

Multi-dimensional optimization for approximate near-threshold computing

  • Published:
Frontiers of Information Technology & Electronic Engineering Aims and scope Submit manuscript

Abstract

The demise of Dennard’s scaling has created both power and utilization wall challenges for computer systems. As transistors operating in the near-threshold region are able to obtain flexible trade-offs between power and performance, it is regarded as an alternative solution to the scaling challenge. A reduction in supply voltage will nevertheless generate significant reliability challenges, while maintaining an error-free system that generates high costs in both performance and energy consumption. The main purpose of research on computer architecture has therefore shifted from performance improvement to complex multi-objective optimization. In this paper, we propose a three-dimensional optimization approach which can effectively identify the best system configuration to establish a balance among performance, energy, and reliability. We use a dynamic programming algorithm to determine the proper voltage and approximate level based on three predictors: system performance, energy consumption, and output quality. We propose an output quality predictor which uses a hardware/software co-design fault injection platform to evaluate the impact of the error on output quality under near-threshold computing (NTC). Evaluation results demonstrate that our approach can lead to a 28% improvement in output quality with a 10% drop in overall energy efficiency; this translates to an approximately 20% average improvement in accuracy, power, and performance.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  • Azizi O, Mahesri A, Lee BC, et al., 2010. Energy-performance tradeoffs in processor architecture and circuit design: a marginal cost analysis. ACM SIGARCH Comput Arch News, 38(3):26–36. https://doi.org/10.1145/1816038.1815967

    Article  Google Scholar 

  • Carlson TE, Heirman W, Eeckhout L, 2011. Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation. Proc Int Conf for High Performance Computing, Networking, Storage and Analysis, p.1–12. https://doi.org/10.1145/2063384.2063454

  • Chippa VK, Chakradhar ST, Roy K, et al., 2013. Analysis and characterization of inherent application resilience for approximate computing. 50th ACM/EDAC/IEEE Design Automation Conf, p.1–9. https://doi.org/10.1145/2463209.2488873

  • Das S, Blaauw D, Bull D, et al., 2009. Addressing design margins through error-tolerant circuits. 46th ACM/IEEE Design Automation Conf, p.11–12. https://doi.org/10.1145/1629911.1629917

  • Esmaeilzadeh H, Sampson A, Ceze L, et al., 2012. Neural acceleration for general-purpose approximate programs. 45th Annual IEEE/ACM Int Symp on Microarchitecture, p.449–460. https://doi.org/10.1109/MICRO.2012.48

  • Ferreira K, Stearley J, Laros JH, et al., 2011. Evaluating the viability of process replication reliability for exascale systems. Proc Int Conf for High Performance Computing, Networking, Storage and Analysis, p.1–12. https://doi.org/10.1145/2063384.2063443

  • Grigorian B, Farahpour N, Reinman G, 2015. BRAINIAC: bringing reliable accuracy into neurally-implemented approximate computing. IEEE 21st Int Symp on High Performance Computer Architecture, p.615–626. https://doi.org/10.1109/HPCA.2015.7056067

  • Gupta V, Mohapatra D, Park SP, et al., 2011. IMPACT: IMPrecise adders for low-power approximate computing. IEEE/ACM Int Symp on Low Power Electronics and Design, p.409–414. https://doi.org/10.1109/ISLPED.2011.5993675

  • Huang KH, Abraham JA, 1984. Algorithm-based fault tolerance for matrix operations. IEEE Trans Comput, C-33(6):518–528. https://doi.org/10.1109/TC.1984.1676475

    Article  Google Scholar 

  • Karpuzcu UR, Kolluru KB, Kim NS, et al., 2012. VARIUS-NTV: a microarchitectural model to capture the increased sensitivity of manycores to process variations at near-threshold voltages. IEEE/IFIP Int Conf on Dependable Systems and Networks, p.1–11. https://doi.org/10.1109/DSN.2012.6263951

  • Kaul H, Anders M, Hsu S, et al., 2012. Near-threshold voltage (NTV) design—opportunities and challenges. Proc 49th Annual Design Automation Conf, p.1149–1154. https://doi.org/10.1145/2228360.2228572

  • Kozhikkottu V, Venkataramani S, Dey S, et al., 2014. Variation tolerant design of a vector processor for recognition, mining and synthesis. Proc Int Symp on Low Power Electronics and Design, p.239–244. https://doi.org/10.1145/2627369.2627636

  • Liu S, Pattabiraman K, Moscibroda T, et al., 2011. Flikker: saving DRAM refresh-power through critical data partitioning. Proc 16th Int Conf on Architectural Support for Programming Languages and Operating Systems, p.213–224. https://doi.org/10.1145/1950365.1950391

  • Reagen B, Gupta U, Pentecost L, et al., 2018. Ares: a framework for quantifying the resilience of deep neural networks. Proc 55th ACM/ESDA/IEEE Design Automation Conf, p.1–6. https://doi.org/10.1109/DAC.2018.8465834

  • Samadi M, Jamshidi DA, Lee J, et al., 2014. Paraprox: pattern-based approximation for data parallel applications. Int Conf on Architectural Support for Programming Languages and Operating Systems, p.35–50. https://doi.org/10.1145/2541940.2541948

  • Sampson A, Baixo A, Ransford B, et al., 2015. ACCEPT: a Programmer-Guided Compiler Framework for Practical Approximate Computing. Technical Report No. UW-CSE-15-01, University of Washington, USA.

    Google Scholar 

  • Santriaji MH, Hoffmann H, 2016. GRAPE: minimizing energy for GPU applications with performance requirements. 49th Annual IEEE/ACM Int Symp on Microarchitecture, p.1–13. https://doi.org/10.1109/MICRO.2016.7783719

  • Shye A, Moseley T, Reddi VJ, et al., 2007. Using process-level redundancy to exploit multiple cores for transient fault tolerance. 37th Annual IEEE/IFIP Int Conf on Dependable Systems and Networks, p.297–306. https://doi.org/10.1109/DSN.2007.98

  • Sidiroglou-Douskos S, Misailovic S, Hoffmann H, et al., 2011. Managing performance vs. accuracy trade-offs with loop perforation. Proc 19th ACM SIGSOFT Symp and 13th European Conf on Foundations of Software Engineering, p.124–134. https://doi.org/10.1145/2025113.2025133

  • Silvano C, Palermo G, Xydis S, et al., 2014. Voltage island management in near threshold manycore architectures to mitigate dark silicon. Design, Automation & Test in Europe Conf & Exhibition, p.1–6. https://doi.org/10.7873/DATE.2014.214

  • Song W, Mukhopadhyay S, Yalamanchili S, 2015a. Architectural reliability: lifetime reliability characterization and management of many-core processors. IEEE Comput Arch Lett, 14(2):103–106. https://doi.org/10.1109/LCA.2014.2340873

    Article  Google Scholar 

  • Song W, Mukhopadhyay S, Yalamanchili S, 2015b. Managing performance-reliability tradeoffs in multi-core processors. IEEE Int Reliability Physics Symp, p.3C.1.1-3C.1.7. https://doi.org/10.1109/IRPS.2015.7112707

  • Sutherland M, San Miguel J, Enright Jerger N, 2015. Texture cache approximation on GPUs. University of Toronto, Toronto, Canada. http://www.eecg.toronto.edu/~enright/TexCacheApprox.pdf

    Google Scholar 

  • Tavakkoli-Moghaddam R, Safari J, Sassani F, 2008. Reliability optimization of series-parallel systems with a choice of redundancy strategies using a genetic algorithm. Reliab Eng Syst Saf, 93(4):550–556. https://doi.org/10.1016/j.ress.2007.02.009

    Article  Google Scholar 

  • Teodorescu R, Torrellas J, 2008. Variation-aware application scheduling and power management for chip multiprocessors. Int Symp on Computer Architecture, p.363–374. https://doi.org/10.1109/ISCA.2008.40

  • Tian Y, Zhang Q, Wang T, et al., 2015. ApproxMA: approximate memory access for dynamic precision scaling. Proc 25th Edition on Great Lakes Symp on VLSI, p.337–342. https://doi.org/10.1145/2742060.2743759

  • Venkatagiri R, Mahmoud A, Hari SKS, et al., 2016. Approxilyzer: towards a systematic framework for instructionlevel approximate computing and its application to hardware resiliency. 49th Annual IEEE/ACM Int Symp on Microarchitecture, p.1–14. https://doi.org/10.1109/MICRO.2016.7783745

  • Wang L, Rivers JA, Gupta MS, et al., 2014. Resilience and real-time constrained energy optimization in embedded processor systems. 10th Workshop on Silicon Errors in Logic-System Effects.

  • Wang L, Vega AJ, Buyuktosunoglu A, et al., 2015. Power-efficient embedded processing with resilience and realtime constraints. IEEE/ACM Int Symp on Low Power Electronics and Design, p.231–236. https://doi.org/10.1109/ISLPED.2015.7273519

  • Wunderlich HJ, Braun C, Schöll A, 2016. Pushing the limits: how fault tolerance extends the scope of approximate computing. IEEE 22nd Int Symp on On-line Testing and Robust System Design, p.133–136. https://doi.org/10.1109/IOLTS.2016.7604686

  • Yazdanbakhsh A, Mahajan D, Esmaeilzadeh H, et al., 2017. AxBench: a multiplatform benchmark suite for approximate computing. IEEE Des Test, 34(2):60–68. https://doi.org/10.1109/MDAT.2016.2630270

    Article  Google Scholar 

  • Zhang Y, Chakrabarty K, 2006. A unified approach for fault tolerance and dynamic power management in fixed-priority real-time embedded systems. IEEE Trans Comput-Aid Des Int Circ Syst, 25(1):111–125. https://doi.org/10.1109/TCAD.2005.852657

    Article  Google Scholar 

  • Zhao BX, Aydin H, Zhu DK, 2008. Reliability-aware dynamic voltage scaling for energy-constrained real-time embedded systems. IEEE Int Conf on Computer Design, p.633–639. https://doi.org/10.1109/ICCD.2008.4751927

  • Zhong LL, 2015. BROAD: Bold and Reliable Online Approximate Computing Framework for Diverse Applications. MS Thesis, University of Illinois at Urbana-Champaign, Urbana, Illinois, USA.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Wei-gong Zhang.

Additional information

Project supported by the National Natural Science Foundation of China (Nos. 62076168 and 61772350), Beijing Nova Program (No. Z181100006218093), and the Research Fund from Beijing Innovation Center for Future Chips (No. KYJJ2018008)

Contributors

Jing WANG and Wei-gong ZHANG designed the research. Wei-wei LIANG, Yue-hua NIU, and Lan GAO processed the data. Jing WANG and Wei-wei LIANG drafted the manuscript. Lan GAO helped organize the manuscript. Wei-gong ZHANG and Yue-hua NIU revised and finalized the paper.

Compliance with ethics guidelines

Jing WANG, Wei-wei LIANG, Yue-hua NIU, Lan GAO, and Wei-gong ZHANG declare that they have no conflict of interest.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Wang, J., Liang, Ww., Niu, Yh. et al. Multi-dimensional optimization for approximate near-threshold computing. Front Inform Technol Electron Eng 21, 1426–1441 (2020). https://doi.org/10.1631/FITEE.2000089

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1631/FITEE.2000089

Key words

CLC number

Navigation