Skip to main content

Static Noise Margin Analysis of Sub-threshold SRAM Cells in Deep Sub-micron Technology

  • Conference paper
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation (PATMOS 2005)

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 3728))

Abstract

Reducing leakage current in memories is critical for low-power designs in deep submicron technology. A common architectural technique consists of lowering the supply voltage to operate SRAM cells in sub-threshold (V th ). This paper investigates stability aspects of sub-V th SRAM cells, both analytically and by simulation in STMicroelectronics’ 90nm CMOS technology. For the first time analytical expressions for the Static Noise Margin in sub-V th as a function of circuit parameters, operating conditions and process variations are derived. The 3G receiver case study illustrates the leakage saving potential of stable sub-V th SRAM designs resulting into energy savings of up to 65%.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Hu, J., et al.: Architecting Voltage Islands in Core-based System-on-a-Chip Designs. In: Int. Symp. on Low Power Electronics and Design, Newport Beach, USA, pp. 180–185 (2004)

    Google Scholar 

  2. Nakagome, Y., Horiguchi, M., Kawahara, T., Itoh, K.: Review and future prospects of low-voltage RAM circuits. IBM R&D Journal 47(6), 525–551 (2003)

    Article  Google Scholar 

  3. Roy, K., et al.: Leakage Current Mechanisms and Leakage Reduction Techniques in Deep- Submicrometer CMOS Circuits. Proceedings of the IEEE 91(2), 305–327 (2003)

    Article  Google Scholar 

  4. Powell, M., et al.: Gated-Vdd - A circuit technique to reduce leakage in deep-submicron cache memories. In: Int. Symp. on Low Power Electronics and Design, Rapallo, Italy, pp. 90–95 (2000)

    Google Scholar 

  5. Flautner, K., et al.: Drowsy caches - simple techniques for reducing leakage power. In: 29th Annual Int. Symposium on Computer Architecture, Anchorage, USA, pp. 25–29 (2002)

    Google Scholar 

  6. Qin, H., et al.: SRAM Leakage Suppression by Minimizing Standby Supply Voltage. In: 5th Int. Symposium on Quality Electronic Design, San Jose, USA, pp. 55–60 (2004)

    Google Scholar 

  7. Chandrakasan, A., Bowhill, W., Fox, F.: Design of High-Performance Microprocessor Circuits. IEEE Press, Los Alamitos (2001)

    Google Scholar 

  8. STMicroelectronics, http://www.st.com/stonline/prodpres/dedicate/soc/asic/90plat.htm

  9. Seevinck, E., List, F.J., Lohstroh, J.: Static Noise Margin Analysis of MOS SRAM Cells. IEEE Journal of solid-state circuits 22(5), 748–754 (1987)

    Article  Google Scholar 

  10. Bhavnagarwala, A.J., et al.: The Impact of Intrinsic Device Fluctuations on CMOS SRAM Cell Stability. IEEE Journal of solid-state circuits 36(4), 658–665 (2001)

    Article  Google Scholar 

  11. 3rd Generation partner project, http://www.3gpp.org

  12. Flautner, K., Flynn, D.: A Combined Hardware-Software Approach for Low-Power SoCs - Applying Adaptive Voltage Scaling and Intelligent Energy Management Software. In: DesignCon 2003 (2003), http://www.arm.com

  13. Kushner, H.J., Whiting, P.A.: Convergence of proportional-fair sharing algorithms under general conditions. IEEE Trans. on Wireless Communications 3(4), 1250–1259 (2004)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Wellig, A., Zory, J. (2005). Static Noise Margin Analysis of Sub-threshold SRAM Cells in Deep Sub-micron Technology. In: Paliouras, V., Vounckx, J., Verkest, D. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2005. Lecture Notes in Computer Science, vol 3728. Springer, Berlin, Heidelberg. https://doi.org/10.1007/11556930_50

Download citation

  • DOI: https://doi.org/10.1007/11556930_50

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-29013-1

  • Online ISBN: 978-3-540-32080-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics