Skip to main content

Dynamic Dictionary-Based Data Compression for Level-1 Caches

  • Conference paper
Architecture of Computing Systems - ARCS 2006 (ARCS 2006)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 3894))

Included in the following conference series:

Abstract

Data cache compression is actively studied as a venue to make bet ter use of on-chip transistors, increase apparent capacity of caches, and hide the long memory latencies. While several techniques have been proposed for L2 compression, L1 compression is an elusive goal. This is due to L1’s sen sitivity to latency and the inability to create compression schemes that are both fast and adaptable to program behavior, i.e. dynamic. In this paper, we propose the first dynamic dictionary-based compression mechanism for L1 data caches. Our design solves the problem of keeping the compressed contents of the cache and the dictionary entries consistent, using a timekeeping decay technique. A dynamic compression dictionary adapts to program be havior without the need of profiling techniques and/or training phases. We compare our approach to previously proposed static dictionary techniques and we show that we surpass them in terms of power, hit ratio and energy delay product.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Alameldeen, A., Wood, D.: Adaptive Cache Compression for High-Performance Processors. In: 31st International Symposium on Computer Architecture (2004)

    Google Scholar 

  2. Basu, K., et al.: Power protocol: Reducing Power Dissipation on Off-Chip Data Buses. In: 35th International Symposium on Microarchitecture (2002)

    Google Scholar 

  3. Brooks, D., et al.: Wattch: A framework for Architectural-level power analysis and optimizations. In: 27th International Symposium on Computer Architecture (2000)

    Google Scholar 

  4. Chen, D., et al.: A Dynamically Partitionable Compressed Cache. In: Singapore -MIT Alliance Symposium (2003)

    Google Scholar 

  5. Citron, D., Rudolph, L.: Creating a Wider Bus using Caching Techniques. In: 1st Symposium on High Performance Computer Architecture (1995)

    Google Scholar 

  6. Ekman, M., Stenstrom, P.: A Robust Main-Memory Compression Scheme. In: 32nd International Symposium on Computer Architecture (2005)

    Google Scholar 

  7. Farrens, M., Park, A.: Dynamic Base Register Caching: A technique for Reducing Address Bus width. In: 18th International Symposium on Computer Architecture (1991)

    Google Scholar 

  8. Gabbay, F., Mendelson, A.: Can Program Profiling Support Value Prediction? In: 30th International Symposium on Microarchitecture (1997)

    Google Scholar 

  9. Ghose, K., Kamble, M.B.: Reducing Power in Superscalar Processor Caches using Subbanking, Multiple Line Buffers, and Bit Line Segmentation. In: International Symposium on Low Power Electronics and Design (1999)

    Google Scholar 

  10. Huang, M., et al.: L1 Data Cache Decomposition for Energy Efficiency. In: International Symposium on Low Power Electronics and Design (2001)

    Google Scholar 

  11. Hallnor, E., Reinhardt, S.: A Unified Compressed Memory Hierarchy. In: 11th Symposium on High Performance Computer Architecture (2005)

    Google Scholar 

  12. Kaxiras, S., et al.: Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power. In: 28th International Symposium on Computer Architecture (2001)

    Google Scholar 

  13. Kim, D., et al.: Low-Energy Data Cache using Sign Compression and Cache Line Bisection. In: Workshop on Memory Performance Issues (2002)

    Google Scholar 

  14. Lee, J.S., et al.: An On-chip Cache Compression Technique to Reduce Decompression Overhead and Design Complexity. Journal of Systems Architecture (2000)

    Google Scholar 

  15. Lee, J.S., et al.: Adaptive Methods to Minimize Decompression Overhead for Compressed On-chip Cache. International Journal of Computers and Application (2003)

    Google Scholar 

  16. Lipasti, M., et al.: Value Locality and Load Value Prediction. In: 7th International Conference on Architectural Support for Programming Languages and Operating Systems (1996)

    Google Scholar 

  17. Musoll, E., et al.: Working Zone Encoding for Reducing the Energy in Microprocessor Address Buses. Transaction on VLSI Systems (1998)

    Google Scholar 

  18. Pujara, P., Aggarwal, A.: Restrictive Compression Techniques to Increase Level 1 Cache Capacity. In: International Conference on Computer Design (2005)

    Google Scholar 

  19. Suresh, D., et al.: Tunable Bus Encoder for Off-Chip Data Buses. In: International Symposium on Low Power Electronics and Design (2001)

    Google Scholar 

  20. Suresh, D., et al.: Power Efficient Encoding Techniques for Off-Chip Data Buses. In: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (2003)

    Google Scholar 

  21. Tremaine, R., et al.: Pinnacle: IBM MXT in a Memory Controller Chip. IEEE Micro (2001)

    Google Scholar 

  22. Villa, L., et al.: Dynamic Zero Compression for Cache Energy Reduction. In: 33rd International Symposium on Microarchitecture (2000)

    Google Scholar 

  23. Yang, J., Gupta, R.: Frequent Value Locality and its Applications. Transactions on Embedded Computing Systems (2002)

    Google Scholar 

  24. Yang, J., Gupta, R.: Frequent Value Encoding for Low Power Buses. Transanctions on Embedded Computing Systems (2004)

    Google Scholar 

  25. Yang, J., et al.: Frequent Value Compression in Data Caches. In: 33rd International Symposium on Microarchitecture (2000)

    Google Scholar 

  26. Zhang, Y., et al.: Frequent Value Locality and Value-centric Data Cache Design. In: 9th International Conference on Architectural Support for Programming Languages and Operating Systems (2000)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Keramidas, G., Aisopos, K., Kaxiras, S. (2006). Dynamic Dictionary-Based Data Compression for Level-1 Caches. In: Grass, W., Sick, B., Waldschmidt, K. (eds) Architecture of Computing Systems - ARCS 2006. ARCS 2006. Lecture Notes in Computer Science, vol 3894. Springer, Berlin, Heidelberg. https://doi.org/10.1007/11682127_9

Download citation

  • DOI: https://doi.org/10.1007/11682127_9

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-32765-3

  • Online ISBN: 978-3-540-32766-0

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics