Skip to main content

Reducing Execution Unit Leakage Power in Embedded Processors

  • Conference paper
Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2006)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4017))

Included in the following conference series:

Abstract

We introduce low-overhead power optimization techniques to reduce leakage power in embedded processors. Our techniques improve previous work by a) taking into account idle time distribution for different execution units, and b) using instruction decode and control dependencies to wakeup the gated (but needed) units as soon as possible. We take into account idle time distribution per execution unit to detect an idle time period as soon as possible. This in turn results in increasing our leakage power savings. In addition, we use information already available in the processor to predict when a gated execution unit will be needed again. This results in early and less costly reactivation of gated execution units. We evaluate our techniques for a representative subset of MiBench benchmarks and for a processor using a configuration similar to Intel’s Xscale processor. We show that our techniques reduce leakage power considerably while maintaining performance.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Borkar, S.: Design challenges of technology scaling. IEEE Micro 19, 23–29 (1999)

    Article  Google Scholar 

  2. Butts, J.A., Sohi, G.S.: A static power model for architects. In: Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture (December 2000)

    Google Scholar 

  3. Hu, Z., Buyuktosunoglu, A., Srinivasan, V., Zuyuban, V., Jacobson, H., Bose, P.: Microarchitectural techniques for power gating of execution units. In: Proceedings of ISLPED (2004)

    Google Scholar 

  4. Unsal, O.S., Koren, I.: System-level power-aware design techniques in real-time systems. Proceedings of the IEEE 91(7) (July 2003)

    Google Scholar 

  5. Jejurikar, R., Gupta, R.: Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems. In: Proceedings of ISLPED (2004)

    Google Scholar 

  6. Powell, M., Yang, S., Falsafi, B., Roy, K., Vijaykumar, T.: Gated-vdd: A circuit technique to reduce leakage in deepsubmicron cache memories. In: Proceedings of ISLPED (2000)

    Google Scholar 

  7. Kao, J., Chandrakasan, A.: Dual-threshold voltage techniques for low-power digital circuits. IEEE Journal of Solid State Circuits 35 (2000)

    Google Scholar 

  8. Johnson, M., Somasekhar, D., Cheiou, L., Roy, K.: Leakage control with efficient use of transistor stacks in single threshold cmos. IEEE Transactions on VLSI Systems 10 (2002)

    Google Scholar 

  9. Durate, D., Tsai, Y.F., Vijaykrishnan, N., Irwin, M.J.: Evaluating run-time techniques for leakage power reduction. In: ASPDAC (2002)

    Google Scholar 

  10. Rele, S., Pande, S., Önder, S., Gupta, R.: Optimizing static power dissipation by functional units in superscalar processors. In: International Conference on Compiler Construction (2002)

    Google Scholar 

  11. Kaxiras, S., Hu, Z., Martonosi, M.: Cache decay: exploiting generational behavior to reduce cache leakage power. In: Proceedings of ISCA (2001)

    Google Scholar 

  12. Bai, R., Kim, N., Sylvester, D., Mudge, T.: Total leakage optimization strategies for multi-level caches. In: ACM Great Lakes Symposium on VLSI (2005)

    Google Scholar 

  13. Guthaus, M., Ringenberg, J., Ernst, D., Austin, T., Mudge, T., Brown, R.: Mibench: A free, commercially representative embedded benchmark suite. In: IEEE 4th Annual Workshop on Workload Characterization (WWC-4) (December 2001)

    Google Scholar 

  14. Burger, D., Austin, T.M., Bennett, S.: Evaluating Future Microprocessors: The SimpleScalar Tool Set. Technical Report CS-TR-96-1308, University of Wisconsin-Madison (July 1996)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Homayoun, H., Baniasadi, A. (2006). Reducing Execution Unit Leakage Power in Embedded Processors. In: Vassiliadis, S., Wong, S., Hämäläinen, T.D. (eds) Embedded Computer Systems: Architectures, Modeling, and Simulation. SAMOS 2006. Lecture Notes in Computer Science, vol 4017. Springer, Berlin, Heidelberg. https://doi.org/10.1007/11796435_31

Download citation

  • DOI: https://doi.org/10.1007/11796435_31

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-36410-8

  • Online ISBN: 978-3-540-36411-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics