Skip to main content

A Novel Methodology to Reduce Leakage Power in CMOS Complementary Circuits

  • Conference paper
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation (PATMOS 2006)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4148))

Abstract

Leakage power loss is a major concern in deep-submicron technologies as it drains the battery even when a circuit is completely idle. The subthreshold leakage current increases exponentially in deep-submicron processes and hence is a crucial factor in scaling down designs. Efficient leakage control mechanisms are necessary to maximize battery life. In this paper, a novel technique that achieves cancellation of leakage effects in both the pull-up network (PUN) as well as the pull-down network (PDN) for any CMOS complementary circuit is presented. It involves voltage balancing in the PUN and PDN paths using sleep transistors. Experimental results show significant leakage power savings (average of 54X at a temperature of 27oC) in CMOS circuits employing this sleep circuitry when compared to standard CMOS circuits. At any given temperature, using our methodology the leakage power loss increases linearly with increasing circuit complexity and hence the leakage loss can be predicted for any CMOS complementary circuit.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Roy, K., Prasad, S.: Low-Power CMOS VLSI Circuit Design. Wiley-Interscience, New York (2000)

    Google Scholar 

  2. Rabaey, J., Chandrakasan, A., Nikolic, B.: Digital Integrated Circuits - A Design Perspective, 2nd edn. Prentice Hall Publishers, New Jersey (2002)

    Google Scholar 

  3. Pedram, M., Rabaey, J.: Power Aware Design Methodologies. Kluwer Academic Publishers, Massachusetts (2002)

    Book  Google Scholar 

  4. Benini, L., Micheli, G.D., Macii, E.: Designing low-power circuits: Practical recipes. IEEE Circuits and Systems Magazine 1(1), 6–25 (January 2001)

    Google Scholar 

  5. Fallah, F., Pedram, M.: Standby and active leakage current control and minimization in cmos vlsi circuits. IEICE Transactions on Electronics, Special Section on Low-Power LSI and Low-Power IP E88-C(4), 509–519 (2005)

    Google Scholar 

  6. International technology roadmap for semiconductors (itrs-05) (2005), http://www.itrs.net/Common/ITRS/Design2005.pdf

  7. Borkar, S.: Gigascale integration, http://www.intel.com/cd/ids/developer/asmo-na/eng/strategy/182440.htm?page=2

  8. Kao, J., Chandrakasan, A.: Dual-threshold voltage techniques for low-power digital circuits. IEEE Journal of Solid-State Circuits 35(7), 1009–1018 (2000)

    Article  Google Scholar 

  9. Ye, Y., Borkar, S., De, V.: A new technique for standby leakage reduction in high-performance circuits. In: IEEE Symposium on VLSI Circuits Digest of Technical Papers, pp. 40–41 (June 1998)

    Google Scholar 

  10. Narendra, S., De, V., Borkar, S., Antoniadis, D., Chandrakasan, A.: Full-chip subthreshold leakage power prediction and reduction techniques for sub-0.18-μm cmos. IEEE Journal of Solid-State Circuits 39(2), 501–510 (2004)

    Article  Google Scholar 

  11. Kursun, V., Friedman, E.: Node voltage dependent subthreshold leakage current characteristics of dynamic circuits. In: Proceedings of the 5th International Symposium on Quality Electronic Design, pp. 104–109 (March 2004)

    Google Scholar 

  12. Kursun, V., Friedman, E.: Energy efficient dual threshold voltage dynamic circuits employing sleep switches to minimize subthreshold leakage. In: Proceedings of the IEEE International Symposium on Circuits and Systems, vol. 2, pp. 417–420 (May 2004)

    Google Scholar 

  13. Tsmc processes through mosis, http://www.mosis.org/products/fab/vendors/tsmc

  14. He, L., Liao, W., Stan, M.: System level leakage reduction considering the interdependence of temperature and leakage. In: Proceedings of the 41st Design Automation Conference, pp. 12–17 (June 2004)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Lakshmikanthan, P., Nuñez, A. (2006). A Novel Methodology to Reduce Leakage Power in CMOS Complementary Circuits. In: Vounckx, J., Azemard, N., Maurine, P. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2006. Lecture Notes in Computer Science, vol 4148. Springer, Berlin, Heidelberg. https://doi.org/10.1007/11847083_60

Download citation

  • DOI: https://doi.org/10.1007/11847083_60

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-39094-7

  • Online ISBN: 978-3-540-39097-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics