Skip to main content

An Evolutionary Approach to Automatic Generation of VHDL Code for Low-Power Digital Filters

  • Conference paper
  • First Online:

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 2038))

Abstract

An evolutionary algorithm is used to design a finite impulse response digital filter with reduced power consumption. The proposed design approach combines genetic optimization and simulation methodology, to evaluate a multi-objective fitness function which includes both the suitability of the filter transfer function and the transition activity of digital blocks. The proper choice of fitness function and selection criteria allows the genetic algorithm to perform a better search within the design space, thus exploring possible solutions which are not considered in the conventional structured design methodology. Although the evolutionary process is not guaranteed to generate a filter fully compliant to specifications in every run, experimental evidence shows that, when specifications are met, evolved filters are much better than classical designs both in terms of power consumption and in terms of area, while maintaining the same performance.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Bäck, T.: Evolutionary Algorithms in Theory and Practice. Oxford University Press, Oxford, UK (1996)

    MATH  Google Scholar 

  2. Darwin, C.: On the Origin of Species by Means of Natural Selection. John Murray, London, UK (1859)

    Google Scholar 

  3. Drechsler, R.: Evolutionary Algorithms for VLSI CAD. Kluwer Academic Publishers, Dordrecht, The Netherlands (1998)

    Google Scholar 

  4. Sipper, M., Mange, D., Sanchez, E.: Quo vadis evolvable hardware? Communications of the ACM 42 (1999) 50–56

    Article  Google Scholar 

  5. Thompson, A., Layzell, P.: Analysis of unconventional evolved electronics. Communications of the ACM 42 (1999) 71–79

    Article  Google Scholar 

  6. Liberali, V., Rossi, R., Torelli, G.: Crosstalk effects in mixed-signal ICs in deep submicron digital CMOS technology. Microelectronics Journal 31 (2000) 893–904

    Article  Google Scholar 

  7. Pedram, M.: Power minimization in IC design: Principles and applications. ACM Trans. on Design Automation of Electronic Systems 1 (1996) 3–56

    Article  Google Scholar 

  8. Jackson, L.B.: Digital Filters and Signal Processing. Kluwer Academic Publishers, Dordrecht, The Netherlands (1986)

    Google Scholar 

  9. Jackson, L.B.: Signals, Systems, and Transforms. Addison-Wesley, Reading, MA, USA (1991)

    Google Scholar 

  10. Zhao, Q., Tadokoro, Y.: A simple design of FIR filters with power-of-two coefficients. IEEE Trans. Circ. and Syst. 35 (1988) 556–570

    Google Scholar 

  11. Pirsch, P.: Architectures for Digital Signal Processing. John Wiley & Sons, Chichester, UK (1998)

    Google Scholar 

  12. Koza, J.R.: Genetic Programming: on the Programming of Computers by Means of Natural Selection. The MIT Press, Cambridge, MA, USA (1993)

    Google Scholar 

  13. Miller, J.F., Thomson, P.: Cartesian genetic programming. In Poli, R. et al. (Eds.), Genetic Programming European Conference (EuroGP 2000), Springer-Verlag, Berlin, Germany (2000) 121–132

    Google Scholar 

  14. Thompson, A., Layzell, P., Zebulum, R.S.: Explorations in design space: Unconventional electronics design through artificial evolution. IEEE Trans. Evolutionary Computation 3 (1999) 167–196

    Article  Google Scholar 

  15. The Mathworks, Inc.:, Signal Processing Toolbox. Natick, MA, USA (1983)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2001 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Erba, M., Rossi, R., Liberali, V., Tettamanzi, A.G.B. (2001). An Evolutionary Approach to Automatic Generation of VHDL Code for Low-Power Digital Filters. In: Miller, J., Tomassini, M., Lanzi, P.L., Ryan, C., Tettamanzi, A.G.B., Langdon, W.B. (eds) Genetic Programming. EuroGP 2001. Lecture Notes in Computer Science, vol 2038. Springer, Berlin, Heidelberg. https://doi.org/10.1007/3-540-45355-5_4

Download citation

  • DOI: https://doi.org/10.1007/3-540-45355-5_4

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-41899-3

  • Online ISBN: 978-3-540-45355-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics