Skip to main content

Three-Dimensional Networks-on-Chip: Performance Evaluation

  • Chapter
  • First Online:
3D Integration for NoC-based SoC Architectures

Part of the book series: Integrated Circuits and Systems ((ICIR))

Abstract

The Network-on-Chip (NoC) paradigm has emerged as a revolutionary methodology for integrating a very high number of intellectual property (IP) blocks in a single die. The achievable performance benefit arising out of adopting NoCs is constrained by the performance limitation imposed by the metal wire, which is the physical realization of communication channels. With technology scaling, only depending on the material innovation will extend the lifetime of conventional interconnect systems a few technology generations. According to International Technology Roadmap for Semiconductors (ITRS) for the longer term, new interconnect paradigms are in need. The conventional two dimensional (2D) integrated circuit (IC) has limited floor-planning choices, and consequently it limits the performance enhancements arising out of NoC architectures. Three dimensional (3D) ICs are capable of achieving better performance, functionality, and packaging density compared to more traditional planar ICs. On the other hand, NoC is an enabling solution for integrating large numbers of embedded cores in a single die. 3D NoC architectures combine the benefits of these two new domains to offer an unprecedented performance gain. This chapter quantifies the performance of 3D NoC architectures. It demonstrates functionality in terms of throughput, latency, energy dissipation, and wiring area overhead. It also addresses the temperature concerns that are apparent in 3D integrated circuits in general as well as many emerging 2D applications, showing that the characteristics of 3D NoCs limit what would otherwise be a dramatic increase in temperature, and in a certain case, even reduce temperature.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. P. Magarshack and P. G. Paulin, “System-on-Chip Beyond the Nanometer Wall,” Proceedings of 40th Design Automation Conf. (DAC 03), ACM Press, 2003, pp. 419–424.

    Google Scholar 

  2. International Technology Roadmap for Semiconductors 2005: Interconnect, [online] http://www.itrs.net/

  3. A. W. Topol et al., “Three-Dimensional Integrated Circuits,” IBM Journal of Research & Development, vol. 50, no. 4/5, July/Sept. 2006, pp. 491–506.

    Google Scholar 

  4. W. R. Davis et al., “Demystifying 3D ICs: The Pros and Cons of Going Vertical,” IEEE Design and Test of Computers, vol. 22, no. 6, Nov. 2005.

    Google Scholar 

  5. Y. Deng et al., “2.5D System Integration: A Design Driven System Implementation Schema,” Proceedings of the Asia South Pacific Design Automation Conference, 2004.

    Google Scholar 

  6. M. Ieong et al., “Three Dimensional CMOS Devices and Integrated Circuits,” Proceedings of IEEE Custom Integrated Circuits Conference, 2003.

    Google Scholar 

  7. L. Benini and G. De Micheli, “Networks on Chips: A New SoC Paradigm,” IEEE Computer, Jan. 2002, pp. 70–78.

    Article  Google Scholar 

  8. W. J. Dally and B. Towles, “Route Packets, Not Wires: On-Chip Interconnection Networks,” Proceedings of the 2001 DAC, June 18–22, 2001, pp. 683–689.

    Google Scholar 

  9. F. Li et al., “Design and Management of 3D Chip Multiprocessors Using Network-in-Memory,” Proceedings of the 33rd International Symposium on Computer Architecture (ISCA’06), pp. 130–141.

    Article  Google Scholar 

  10. V. F. Pavlidis and E. G. Friedman, “3-D Topologies for Networks-on-Chip,” IEEE Transactions on Very Large Scale Integration (VLSI), October 2007, pp. 1081–1090.

    Article  Google Scholar 

  11. J. Srinivasan et al., “Exploiting Structural Duplication for Lifetime Reliability Enhancement,” Proceedings of the 32nd International Symposium on Computer Architecture (ISCA’05), pp. 520–531.

    Google Scholar 

  12. J. Tsai, C. C. Chen, G. Chen, B. Goplen, H. Qian, Y. Zhan, S. Kang, M. D. F. Wong, and S. S. Sapatnekar, “Temperature-Aware Placement for SoCs,” Proceedings of the IEEE, vol. 94, no. 8, Aug. 2006, pp. 1502–1518.

    Google Scholar 

  13. T. Theocharides, G. Link, N. Vijaykrishnan, and M. Irwin, “Implementing LDPC Decoding on Network-on-Chip,” Proceedings of the International Conference on VLSI Design, 2005 (VLSID 2005), pp. 134–137.

    Google Scholar 

  14. S. Vangal et al., “An 80-Tile 1.28TFLOPS Network-on-Chip in 65 nm CMOS,” Proceedings of IEEE International Solid-State Circuits Conference (ISSCC), 2007, pp. 98–99.

    Google Scholar 

  15. Tilera Co. http://www.tilera.com

  16. P. Jacob et al., “Predicting the Performance of a 3D Processor-Memory Stack,” IEEE Design and Test of Computers, Nov. 2005, pp. 540–547.

    Google Scholar 

  17. R. I. Greenberg and L. Guan, “An Improved Analytical Model for Wormhole Routed Networks with Application to Butterfly Fat Trees,” Proceedings of the International Conference on Parallel Processing (ICPP 1997), pp. 44–48.

    Google Scholar 

  18. C. Grecu et al., “A Scalable Communication-Centric SoC Interconnect Architecture,” Proceedings of the 5th International Symposium on Quality Electronic Design, 2004, pp. 343–348.

    Google Scholar 

  19. P. Guerrier and A. Greiner, “A Generic Architecture for On-Chip Packet-Switched Interconnections,” Proceedings of Design and Test in Europe (DATE), Mar. 2000, pp. 250–256.

    Google Scholar 

  20. C. Grecu, P. P. Pande, A. Ivanov, and R. Saleh, “Timing Analysis of Network on Chip Architectures for MP-SoC Platforms,” Microelectronics Journal, Elsevier, vol. 36, no. 9, Mar. 2005, pp. 833–845.

    Article  Google Scholar 

  21. P. P. Pande, C. Grecu, M. Jones, A. Ivanov, and R. Saleh, “Performance Evaluation and Design Trade-offs for Network on Chip Interconnect Architectures,” IEEE Transactions on Computers, vol. 54, no. 8, Aug. 2005, pp. 1025–1040.

    Article  Google Scholar 

  22. J. Duato, S. Yalamanchili, and L. Ni, Interconnection Networks—An Engineering Approach, Morgan Kaufmann, San Francisco, CA,2002.

    Google Scholar 

  23. K. Park and W. Willinger, Self-Similar Network Traffic and Performance Evaluation, John Wiley & Sons, New York,2000.

    Book  Google Scholar 

  24. D. R. Avresky, V. Shubranov, R. Horst, and P. Mehra, “Performance Evaluation of the ServerNetR SAN under Self-Similar Traffic,” Proceedings of 13th International and 10th Symposium on Parallel and Distributed Processing, April 12–16th, 1999, pp. 143–147.

    Google Scholar 

  25. G. V. Varatkar and R. Marculescu, “On-Chip Traffic Modeling and Synthesis for MPEG-2 Video Applications,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 8, no. 3, June 2000, pp. 335–339.

    Article  Google Scholar 

  26. Circuits Multi-Projects. http://cmp.imag.fr/

  27. K. C. Saraswat et al., “Technology and Reliability Constrained Future Copper Interconnects—Part II: Performance Implications,” IEEE Transaction Electron Devices, vol. 49, no. 4, Apr. 2002, pp. 598–604.

    Article  Google Scholar 

  28. P. P. Pande, C. Grecu, M. Jones, A. Ivanov, and R. Saleh, “Effect of Traffic Localization on Energy Dissipation in NoC-based Interconnect,” Proceedings of IEEE International Symposium on Circuits and Systems, 23rd–26th May 2005, pp. 1774–1777.

    Google Scholar 

  29. J. A. Davis, R. Venkatesan, A. Kaloyeros, M. Beylansky, S. J. Souri, K. Banerjee, K. C. Saraswat, A. Rahman, R. Reif, and J. D. Meindl, “Interconnect Limits on Gigascale Integration (GSI) in the 21st Century,” Proceedings of the IEEE, vol. 89, no 3, Mar. 2001, pp. 305–324.

    Google Scholar 

  30. D. Meeks, “Fundamentals of Heat Transfer in a Multilayer System,” Microwave Journal, vol. 1, no. 1, Jan. 1992, pp. 165–172.

    Google Scholar 

  31. W. Huang, K. Sankaranarayanan, R. J. Ribando, M. R. Stan, and K. Skadron, “An Improved Block-Based Thermal Model in HotSpot 4.0 with Granularity Considerations,” Proceedings of the Workshop on Duplicating, Deconstructing, and Debunking, in conjunction with the 34th International Symposium on Computer Architecture (ISCA), June 2007.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Brett Stanley Feero or Partha Pratim Pande .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Feero, B.S., Pande, P. (2011). Three-Dimensional Networks-on-Chip: Performance Evaluation. In: Sheibanyrad, A., Pétrot, F., Jantsch, A. (eds) 3D Integration for NoC-based SoC Architectures. Integrated Circuits and Systems. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-7618-5_6

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-7618-5_6

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-7617-8

  • Online ISBN: 978-1-4419-7618-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics