Skip to main content

Gate Sizing

  • Reference work entry
  • First Online:
Encyclopedia of Algorithms
  • 557 Accesses

Years and Authors of Summarized Original Work

  • 2002; Sundararajan, Sapatnekar, Parhi

Problem Definition

For a detailed exposition of the solution approach presented in this entry, please refer to [15]. As evidenced by the successive announcement of ever-faster computer systems in the past decade, increasing the speed of VLSI systems continues to be one of the major requirements for VLSI system designers today. Faster integrated circuits are making possible newer applications that were traditionally considered difficult to implement in hardware. In this scenario of increasing circuit complexity, reduction of circuit delay in integrated circuits is an important design objective. Transistor sizing is one such task that has been employed for speeding up circuits for quite some time now [6]. Given the circuit topology, the delay of a combinational circuit can be controlled by varying the sizes of transistors in the circuit. Here, the size of a transistor is measured in terms of its channel...

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 1,599.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 1,999.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Recommended Reading

  1. Chen CP, Chu CN, Wong DF (1998) Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation. In: Proceedings of the 1998 IEEE/ACM international conference on computer-aided design, San Jose, pp 617–624

    Google Scholar 

  2. Chen HY, Kang SM (1991) iCOACH: a circuit optimization aid for CMOS high-performance circuits. Intergr VLSI J 10(2):185–212

    Article  MathSciNet  Google Scholar 

  3. Conn AR, Coulman PK, Haring RA, Morrill GL, Visweshwariah C, Wu CW (1998) Jiffy Tune: circuit optimization using time-domain sensitivities. IEEE Trans Comput Aided Des Intergr Circuits Syst 17(12):1292–1309

    Article  Google Scholar 

  4. Cormen TH, Leiserson CE, Rivest RL (1990) Introduction to algorithms. McGraw-Hill, New York

    MATH  Google Scholar 

  5. Dai Z, Asada K (1989) MOSIZ: a two-step transistor sizing algorithm based on optimal timing assignment method for multi-stage complex gates. In: Proceedings of the 1989 custom integrated circuits conference, New York, pp 17.3.1–17.3.4

    Google Scholar 

  6. Fishburn JP, Dunlop AE (1985) TILOS: a posynomial programming approach to transistor sizing. In: Proceedings of the 1985 international conference on computer-aided design, Santa Clara, pp 326–328

    Google Scholar 

  7. Goldberg AV, Grigoriadis MD, Tarjan RE (1991) Use of dynamic trees in a network simplex algorithm for the maximum flow problem. Math Program 50(3):277–290

    Article  MathSciNet  MATH  Google Scholar 

  8. Grodstein J, Lehman E, Harkness H, Grundmann B, Watanabe Y (1995) A delay model for logic synthesis of continuously sized networks. In: Proceedings of the 1995 international conference on computer-aided design, San Jose, pp 458–462

    Google Scholar 

  9. Marple DP (1986) Performance optimization of digital VLSI circuits. Technical report CSL-TR-86-308, Stanford University

    Google Scholar 

  10. Marple DP (1989) Transistor size optimization in the tailor layout system. In: Proceedings of the 26th ACM/IEEE design automation conference, Las Vegas, pp 43–48

    Google Scholar 

  11. Papaefthymiou MC (1998) Asymptotically efficient retiming under setup and hold constraints. In: Proceedings of the IEEE/ACM international conference on computer-aided design, San Jose, pp 288–295

    Google Scholar 

  12. Sapatnekar SS, Rao VB, Vaidya PM, Kang SM (1993) An exact solution to the transistor sizing problem for CMOS circuits using convex optimization. IEEE Trans Comput Aided Des 12(11): 1621–1634

    Article  Google Scholar 

  13. Shyu JM, Sangiovanni-Vincentelli AL, Fishburn JP, Dunlop AE (1988) Optimization-based transistor sizing. IEEE J Solid State Circuits 23(2):400–409

    Article  Google Scholar 

  14. Sundararajan V, Parhi K (1999) Low power synthesis of dual threshold voltage CMOS VLSI circuits. In: Proceedings of the international symposium on low power electronics and design, San Diego, pp 139–144

    Google Scholar 

  15. Sundararajan V, Sapatnekar SS, Parhi KK (2002) Fast and exact transistor sizing based on iterative relaxation. Comput-Aided Design Intergr Circuits Syst IEEE Trans 21(5):568–581

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vijay Sundararajan .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer Science+Business Media New York

About this entry

Cite this entry

Sundararajan, V. (2016). Gate Sizing. In: Kao, MY. (eds) Encyclopedia of Algorithms. Springer, New York, NY. https://doi.org/10.1007/978-1-4939-2864-4_159

Download citation

Publish with us

Policies and ethics