Skip to main content

Resource Efficient Dynamic Voltage and Frequency Scaling on Xilinx FPGAs

  • Conference paper
  • First Online:
Applied Reconfigurable Computing. Architectures, Tools, and Applications (ARC 2020)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 12083))

Included in the following conference series:

Abstract

As FPGA devices become increasingly ubiquitous, the need for energy-conscious implementations for battery-powered devices arises. These new energy constraints have to be met in addition to the well-known area, latency and throughput requirements. Furthermore, the power dissipation of such systems is usually considered as a hardware problem. However, it can be solved effectively through hardware and software implementations of power-saving techniques. One generic energy-saving technique that does not require retroactive alteration of an HW/SW-design is dynamic voltage and frequency scaling (DVFS) which adjusts the power consumption and performance of an embedded device at run-time based on its workload and operating conditions. This work investigates the power monitoring and scaling capabilities of Xilinx Zynq-7000 SoCs and UltraScale+ MPSoCs. A real-time operating system (RTOS) manages the resources of an application, the voltage/frequency scaling and the power monitoring with its preemptive scheduling policies. Furthermore, the frequency is scaled without using additional hardware resources on the programmable logic from the processing system. The methodology can easily be used for changing the processor frequency at run-time. As a case study, we apply our technique to find energy-optimal voltage and frequency pairs for an image processing application designed using the open-source high-level synthesis library HiFlipVX. The proposed frequency scaling architecture requires up to 20% less flip-flops and look-up tables as compared to the same design with clocking wizard on the programmable logic.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Nunez-Yanez, J., Beldachi, A.: Run-time power and performance scaling with CPU-FPGA hybrids. In: 2014 NASA/ESA Conference on Adaptive Hardware and Systems (AHS), pp. 55–60, July 2014

    Google Scholar 

  2. Kuon, I., Rose, J.: Measuring the gap between FPGAs and ASICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(2), 203–215 (2007)

    Article  Google Scholar 

  3. Canny, A.: Computational approach to edge detection. IEEE Trans. Pattern Anal. Mach. Intell. 8(6), 679–698 (1986)

    Article  Google Scholar 

  4. Cho, H., Sung, M., Jun, B.: Canny text detector: fast and robust scene text localization algorithm. In: 2016 IEEE Conference on Computer Vision and Pattern Recognition (CVPR), pp. 3566–3573, June 2016

    Google Scholar 

  5. Kalms, L., Podlubne, A., Göhringer, D.: HiFlipVX: an open source high-level synthesis FPGA library for image processing. In: Hochberger, C., Nelson, B., Koch, A., Woods, R., Diniz, P. (eds.) ARC 2019. LNCS, vol. 11444, pp. 149–164. Springer, Cham (2019). https://doi.org/10.1007/978-3-030-17227-5_12

    Chapter  Google Scholar 

  6. Nunez-Yanez, J.: Energy proportional neural network inference with adaptive voltage and frequency scaling. IEEE Trans. Comput. 68(5), 1 (2018)

    MathSciNet  Google Scholar 

  7. Beldachi, A.F., Nunez-Yanez, J.L.: Accurate power control and monitoring in ZYNQ boards. In: 2014 24th International Conference on Field Programmable Logic and Applications (FPL), pp. 1–4, September 2014

    Google Scholar 

  8. Podlubne, A., et al.: Low power image processing applications on FPGAs using dynamic voltage scaling and partial reconfiguration. In: 2018 Conference on Design and Architectures for Signal and Image Processing (DASIP), pp. 64–69, October 2018

    Google Scholar 

  9. Xilinx: ZC702 Board User Guide. UG850, pp. 1–78 (2019)

    Google Scholar 

  10. Maxim Integrated: InTune Automatically Compensated Digital PoL Controller with Driver and PMBus Telemetry. MAX15301, pp. 1–30 (2013)

    Google Scholar 

  11. Railis, K., Tsoutsouras, V., Xydis, S., Soudris, D.: Energy profile analysis of Zynq-7000 programmable SoC for embedded medical processing: study on ECG arrhythmia detection. In: 2016 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp. 275–282, September 2016

    Google Scholar 

  12. Hosseinabady, M., Nunez-Yanez, J.L.: Run-time power gating in hybrid ARM-FPGA devices. In: 2014 24th International Conference on Field Programmable Logic and Applications (FPL), pp. 1–6, September 2014

    Google Scholar 

  13. Li, S., Broekaert, F.: Low-power scheduling with DVFS for common RTOS on multicore platforms. SIGBED Rev. 11(1), 32–37 (2014)

    Article  Google Scholar 

  14. Poggi, T., et al.: A hypervisor architecture for low-power real-time embedded systems. In: 2018 21st Euromicro Conference on Digital System Design (DSD), pp. 252–259, August 2018

    Google Scholar 

  15. Lee, J., Tang, H., Park, J.: Energy efficient canny edge detector for advanced mobile vision applications. IEEE Trans. Circuits Syst. Video Technol. 28(4), 1037–1046 (2018)

    Article  Google Scholar 

  16. Maheshwari, B.C., Burns, J., Blott, M., Gambardella, G.: Implementation of a scalable real time canny edge detector on programmable SOC. In: 2017 International Conference on Electrical and Computing Technologies and Applications (ICECTA), pp. 1–5, November 2017

    Google Scholar 

  17. Najam, Z., Qadri, M.Y., Najam, S.: Real-time implementation of DVFS enhanced LEON3 MPSoC on FPGA. In: 2016 6th International Conference on Intelligent and Advanced Systems (ICIAS), pp. 1–6, August 2016

    Google Scholar 

  18. Texas Instruments: UCD92xx Digital PWM System Controller PMBus Command Reference. SLUU337, pp. 1–50 (2018)

    Google Scholar 

  19. Xilinx: Zynq-7000 SoC: DC and AC Switching Characteristics. DS187, pp. 1–72 (2018)

    Google Scholar 

  20. Xilinx: ZCU102 Evaluation Board User Guide. UG1182, pp. 1–122 (2019)

    Google Scholar 

  21. Xilinx: Zynq UltraScale+ MPSoC Software Developer Guide. UG1137, pp. 1–611 (2018)

    Google Scholar 

  22. Texas Instruments: Low Voltage 4-Channel I2C and SMBus Multiplexer With Interrupt Logic. PCA9544A, pp. 1–34 (2014)

    Google Scholar 

  23. Texas Instruments: INA226 High-Side or Low-Side Measurement, Bi-Directional Current and Power Monitor with I2C Compatible Interface. INA226, pp. 1–39 (2015)

    Google Scholar 

  24. Zynq-7000 AP SoC Low Power Techniques Part 3 - Measuring ZC702 Power with a Standalone Application Tech Tip. https://xilinx-wiki.atlassian.net. Accessed 09 March 2020

  25. Ali, M., Amini Rad, P., Göhringer, D.: RISC-V based MPSoC design exploration for FPGAs: area, power and performance. In: Rincn, F. et al. (eds.) ARC 2020. LNCS, vol. 12083, pp. 193–207. Springer, Cham (2020)

    Google Scholar 

  26. Xilinx: Zynq-7000 SoC Technical Reference Manual. UG585, pp. 1–1843 (2018)

    Google Scholar 

  27. Xilinx: Zynq Migration Guide. UG1213, pp. 1–156 (2016)

    Google Scholar 

  28. Kase, R.: Efficient scheduling library for FreeRTOS. In: KTH, School of Information and Communication Technology (ICT), pp. 1–55 (2016)

    Google Scholar 

  29. Xilinx: Zynq UltraScale+ MPSoC Data Sheet: DC and AC Switching Characteristics. DS925, pp. 1–108 (2019)

    Google Scholar 

Download references

Acknowledgment

The work described in this paper has been supported in part by the German Federal Ministry of Education and Research BMBF (grant nr. 16KIS0663 SysKit_HW) and funded by the German Research Foundation (DFG, Deutsche Forschungsgemeinschaft) as part of Germany’s Excellence Strategy – EXC 2050/1 – Project ID 390696704 – Cluster of Excellence “Centre for Tactile Internet with Human-in-the-Loop” (CeTI) of Technische Universität Dresden.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Gökhan Akgün .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Akgün, G., Kalms, L., Göhringer, D. (2020). Resource Efficient Dynamic Voltage and Frequency Scaling on Xilinx FPGAs. In: Rincón, F., Barba, J., So, H., Diniz, P., Caba, J. (eds) Applied Reconfigurable Computing. Architectures, Tools, and Applications. ARC 2020. Lecture Notes in Computer Science(), vol 12083. Springer, Cham. https://doi.org/10.1007/978-3-030-44534-8_14

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-44534-8_14

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-44533-1

  • Online ISBN: 978-3-030-44534-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics