Skip to main content

Approximate Multiplier Design for Energy Efficiency: From Circuit to Algorithm

  • Chapter
  • First Online:
Approximate Computing

Abstract

Due to the inherent tolerance to inaccuracy in data-driven applications, approximate multipliers have received growing attentions for its compactness and energy efficiency. However, the energy efficiency of an approximate multiplier largely depends on how and where the inaccuracy is introduced into the design, which can be roughly categorized to 3 design levels: (1) architecture, (2) algorithm, and (3) circuit. Such large design space inevitably incurs design complexities and challenges in selecting the appropriate multiplier for a particular application. Thus, this paper provides a comprehensive review of the state-of-the-art (SOTA) designs of approximate multipliers for future investigations.

This work was partially supported by National Key R&D Program of China (Grant No. 2018YFE0126300) and National Natural Science Foundation of China (Grant No. 62034007 and 61974133).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 79.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 99.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 129.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Atzori L, Iera A, Morabito G. The internet of things: a survey. Comput Netw. 2010;54(15):2787–2805

    Article  MATH  Google Scholar 

  2. Gupta V, Mohapatra D, Park SP, Raghunathan A, Roy K. Impact: imprecise adders for low-power approximate computing. In: IEEE/ACM international symposium on low power electronics and design; 2011. pp 409–14

    Google Scholar 

  3. Han J, Orshansky M. Approximate computing: An emerging paradigm for energy-efficient design. In: 2013 18th IEEE European test symposium (ETS); 2013. pp 1–6

    Google Scholar 

  4. Imani M, Rahimi A, Rosing TS. Resistive configurable associative memory for approximate computing. In: Proceedings of the 2016 conference on design, automation & test in Europe. DATE ’16. San Jose, CA: EDA Consortium; 2016. p 1327–32

    Google Scholar 

  5. Venkataramani S, Chippa VK, Chakradhar ST, Roy K, Raghunathan A. Quality programmable vector processors for approximate computing. In: Proceedings of the 46th annual IEEE/ACM international symposium on microarchitecture. MICRO-46. New York, NY: Association for Computing Machinery; 2013. pp 1–12 [Online]. Available: https://doi.org/10.1145/2540708.2540710

  6. Jiang H, Santiago FJH, Mo H, Liu L, Han J. Approximate arithmetic circuits: a survey, characterization, and recent applications. Proc IEEE 2020;108(12):2108–2135

    Article  Google Scholar 

  7. Govindu G, Zhuo L, Choi S, Prasanna V. Analysis of high-performance floating-point arithmetic on FPGAs. In: 18th international parallel and distributed processing symposium, 2004. proceedings; 2004. p 149

    Google Scholar 

  8. Yu RK, Zyner GB. 167 MHz radix-4 floating point multiplier. In: Proceedings of the 12th symposium on computer arithmetic; 1995. pp 149–54.

    Google Scholar 

  9. Courbariaux M, Bengio Y, David J. Low precision arithmetic for deep learning. In: Bengio Y, LeCun Y (eds.). 3rd international conference on learning representations, ICLR 2015, San Diego, CA, May 7–9, 2015. Workshop track proceedings; 2015. [Online]. Available: http://arxiv.org/abs/1412.7024

  10. Deng J, Zhuo C. Energy efficient real-time UAV object detection on embedded platforms. IEEE Trans Comput-Aid Des Integr Circ Syst 2019;PP:1–1

    Google Scholar 

  11. He K, Gerstlauer A, Orshansky M. Circuit-level timing-error acceptance for design of energy-efficient DCT/IDCT-based systems. IEEE Trans Circ Syst Video Technol 2013;23:961–74

    Article  Google Scholar 

  12. Imani M, Kim Y, Rahimi A, Rosing T. ACAM: approximate computing based on adaptive associative memory with online learning. In: Proceedings of the 2016 international symposium on low power electronics and design. ISLPED ’16. New York, NY: Association for Computing Machinery; 2016. pp 162–7. [Online]. Available: https://doi.org/10.1145/2934583.2934595

  13. Imani M, Patil S, Rosing TS. MASC: ultra-low energy multiple-access single-charge TCAM for approximate computing. In: Proceedings of the 2016 conference on design, automation & test in Europe. DATE ’16. San Jose, CA: EDA Consortium; 2016. pp 373–8.

    Google Scholar 

  14. Imani M, Samragh M, Kim Y, Gupta S, Koushanfar F, Rosing T. RAPIDNN: in-memory deep neural network acceleration framework. CoRR abs/1806.05794; 2018. [Online]. Available: http://arxiv.org/abs/1806.05794

  15. Kulkarni P, Gupta P, Ercegovac M. Trading accuracy for power with an underdesigned multiplier architecture. In: 2011 24th international conference on VLSI design. New York: IEEE; 2011. pp 346–51

    Google Scholar 

  16. Shafique M, Hafiz R, Rehman S, El-Harouni W, Henkel J. Invited: cross-layer approximate computing: from logic to architectures. In: 2016 53nd ACM/EDAC/IEEE design automation conference (DAC); 2016. pp. 1–6

    Google Scholar 

  17. Suhre A, Keskin F, Ersahin T, Cetin-Atalay R, Ansari R, Cetin AE. A multiplication-free framework for signal processing and applications in biomedical image analysis. In: 2013 IEEE international conference on acoustics, speech and signal processing. 2013; pp 1123–7

    Google Scholar 

  18. Vahdat S, Kamal M, Afzali-Kusha A, Pedram M, Navabi Z. Truncapp: a truncation-based approximate divider for energy efficient DSP applications. In: Design, automation test in Europe conference exhibition (DATE), 2017; 2017. pp 1635–8

    Google Scholar 

  19. Zhuo C, Unda K, Shi Y, Shih W-K. From layout to system: early stage power delivery and architecture co-exploration. IEEE Trans Comput-Aid Des Integr Circ Syst 2018;PP:1–1

    Google Scholar 

  20. Chandrakasan AP, Brodersen RW. Minimizing power consumption in digital CMOS circuits. Proc IEEE 1995;83(4):498–523

    Article  Google Scholar 

  21. Liu Y, Zhang T, Parhi KK. Computation error analysis in digital signal processing systems with overscaled supply voltage. IEEE Trans Very Large Scale Integration (VLSI) Syst 2009;18(4):517–526

    Article  Google Scholar 

  22. Imani M, Sokolova A, Garcia R, Huang A, Wu F, Aksanli B, Rosing T. ApproxLP: approximate multiplication with linearization and iterative error control. In: Proceedings of the 56th annual design automation conference 2019; 2019. pp 1–6

    Google Scholar 

  23. Chen C, Yang S, Qian W, Imani M, Yin X, Zhuo C. Optimally approximated and unbiased floating-point multiplier with runtime configurability. In: Proceedings of the 39th international conference on computer-aided design; 2020. pp 1–9

    Google Scholar 

  24. IEEE standard for floating-point arithmetic. IEEE Std 754-2019 (Revision of IEEE 754-2008); 2019. pp 1–84

    Google Scholar 

  25. Wallace CS. A suggestion for a fast multiplier. IEEE Trans Electron Comput 1964;1:14–17

    Article  MATH  Google Scholar 

  26. Pabithra S, Nageswari S. Analysis of approximate multiplier using 15–4 compressor for error tolerant application. In: 2018 international conference on control, power, communication and computing technologies (ICCPCCT). New York: IEEE; 2018. pp 410–5

    Chapter  Google Scholar 

  27. Van Toan N, Lee J-G. FPGA-based multi-level approximate multipliers for high-performance error-resilient applications. IEEE Access 2020;8:25481–25497

    Article  Google Scholar 

  28. Ha M, Lee S. Multipliers with approximate 4–2 compressors and error recovery modules. IEEE Embed Syst Lett 2017;10(1):6–9

    Article  Google Scholar 

  29. Qian L, Wang C, Liu W, Lombardi F, Han J. Design and evaluation of an approximate Wallace-Booth multiplier. In: 2016 IEEE international symposium on circuits and systems (ISCAS). New York: IEEE; 2016. pp 1974–7

    Chapter  Google Scholar 

  30. Yin P, Wang C, Liu W, Swartzlander EE, Lombardi F. Designs of approximate floating-point multipliers with variable accuracy for error-tolerant applications. J Sign Process Syst. 2018;90(4):641–654

    Article  Google Scholar 

  31. Lingamneni A, Enz C, Nagel J-L, Palem K, Piguet C. Energy parsimonious circuit design through probabilistic pruning. In: 2011 design, automation & test in Europe. New York: IEEE; 2011. pp 1–6

    Google Scholar 

  32. Schlachter J, Camus V, Enz C, Palem KV. Automatic generation of inexact digital circuits by gate-level pruning. In: 2015 IEEE international symposium on circuits and systems (ISCAS). New York: IEEE; 2015. pp 173–6

    Chapter  Google Scholar 

  33. Camus V, Schlachter J, Enz C, Gautschi M, Gurkaynak FK. Approximate 32-bit floating-point unit design with 53% power-area product reduction. In: ESSCIRC conference 2016: 42nd European solid-state circuits conference; 2016. pp 465–8

    Google Scholar 

  34. Narayanamoorthy S, Moghaddam HA, Liu Z, Park T, Kim NS. Energy-efficient approximate multiplication for digital signal processing and classification applications. IEEE Trans Very Large Scale Integration (VLSI) Systems 2014;23(6):1180–4

    Article  Google Scholar 

  35. Bhardwaj K, Mane PS, Henkel J. Power- and area-efficient approximate Wallace tree multiplier for error-resilient systems. In Fifteenth international symposium on quality electronic design; 2014. pp 263–9

    Google Scholar 

  36. Liu C, Han J, Lombardi F. A low-power, high-performance approximate multiplier with configurable partial error recovery. In 2014 design, automation & test in Europe conference & exhibition (DATE). New York: IEEE; 2014. pp 1–4

    Google Scholar 

  37. Lin C, Lin I. High accuracy approximate multiplier with error correction. In: 2013 IEEE 31st international conference on computer design (ICCD); 2013. pp 33–38

    Google Scholar 

  38. S. E. Ahmed, S. Kadam, and M. Srinivas, “An iterative logarithmic multiplier with improved precision,” in 2016 IEEE 23nd symposium on computer arithmetic (ARITH). New York: IEEE; 2016. pp 104–111

    Chapter  Google Scholar 

  39. Imani M, Peroni D, Rosing T. CFPU: configurable floating point multiplier for energy-efficient computing. In: 2017 54th ACM/EDAC/IEEE design automation conference (DAC). New York: IEEE; 2017, pp 1–6

    Google Scholar 

  40. Imani M, Garcia R, Gupta S, Rosing T. RMAC: runtime configurable floating point multiplier for approximate computing. In: Proceedings of the international symposium on low power electronics and design; 2018. pp 1–6

    Google Scholar 

  41. de Angel E, Swartzlander E. Low power parallel multipliers. In: VLSI Signal Processing, IX. New York: IEEE; 1996. pp 199–208

    Chapter  Google Scholar 

  42. Hashemi S, Bahar RI, Reda S. Drum: a dynamic range unbiased multiplier for approximate applications. In: 2015 IEEE/ACM international conference on computer-aided design (ICCAD). New York: IEEE; 2015. pp 418–25

    Chapter  Google Scholar 

  43. Vahdat S, Kamal M, Afzali-Kusha A, Pedram M. TOSAM: an energy-efficient truncation-and rounding-based scalable approximate multiplier. IEEE Trans Very Large Scale Integr (VLSI) Syst 2019;27(5), 1161–73

    Article  Google Scholar 

  44. Vahdat S, Kamal M, Afzali-Kusha A, Pedram M. LETAM: a low energy truncation-based approximate multiplier. Comput Electr Eng 2017;63:1–17

    Article  Google Scholar 

  45. Venkatachalam S, Ko S-B. Design of power and area efficient approximate multipliers. IEEE Trans Very Large Scale Integr (VLSI) Syst 2017;25(5), 1782–6

    Article  Google Scholar 

  46. Yang T, Ukezono T, Sato T. A low-power high-speed accuracy-controllable approximate multiplier design. In: 2018 23rd Asia and South pacific design automation conference (ASP-DAC). New York: IEEE; 2018. pp 605–10

    Chapter  Google Scholar 

  47. Yang T, Ukezono T, Sato T. Low-power and high-speed approximate multiplier design with a tree compressor. In: 2017 IEEE international conference on computer design (ICCD). New York: IEEE, 2017, pp 89–96

    Chapter  Google Scholar 

  48. Momeni A, Han J, Montuschi P, Lombardi F. Design and analysis of approximate compressors for multiplication. IEEE Trans Comput 2014;64(4):984–994

    Article  MathSciNet  MATH  Google Scholar 

  49. Tung C-W, Huang S-H. Low-power high-accuracy approximate multiplier using approximate high-order compressors. In: 2019 2nd international conference on communication engineering and technology (ICCET). New York: IEEE; 2019, pp 163–7

    Chapter  Google Scholar 

  50. Yang Z, Han J, Lombardi F. Approximate compressors for error-resilient multiplier design. In: 2015 IEEE international symposium on defect and fault tolerance in VLSI and nanotechnology systems (DFTS). New York: IEEE; 2015, pp 183–6

    Chapter  Google Scholar 

  51. Mahdiani HR, Ahmadi A, Fakhraie SM, Lucas C. Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications. IEEE Trans Circ Syst I: Regul Pap 2009;57(4), 850–62

    MathSciNet  Google Scholar 

  52. Lin H-L, Chang RC, Chan M-T, Design of a novel radix-4 booth multiplier. In: The 2004 IEEE Asia-Pacific conference on circuits and systems, vol 2. Citeseer; 2004. pp 837–40

    Google Scholar 

  53. Jiang H, Han J, Qiao F, Lombardi F. Approximate radix-8 booth multipliers for low-power and high-performance operation. IEEE Trans Comput 2015;65(8):2638–44

    Article  MathSciNet  MATH  Google Scholar 

  54. Mitchell JN. Computer multiplication and division using binary logarithms. IRE Trans Electron Comput 1962;4:512–7

    Article  MathSciNet  MATH  Google Scholar 

  55. Liu W, Xu J, Wang D, Wang C, Montuschi P, Lombardi F. Design and evaluation of approximate logarithmic multipliers for low power error-tolerant applications. IEEE Trans Circ Syst I: Regul Pap 2018;65(9):2856–68

    Google Scholar 

  56. Saadat H, Bokhari H, Parameswaran S. Minimally biased multipliers for approximate integer and floating-point multiplication. IEEE Trans Comput-Aid Des Integr Circ Syst. 2018;37(11):2623–35

    Article  Google Scholar 

  57. Ansari MS, Cockburn BF, Han J. A hardware-efficient logarithmic multiplier with improved accuracy. In: 2019 design, automation & test in Europe conference & exhibition (DATE). New York: IEEE; 2019. pp 928–31

    Chapter  Google Scholar 

  58. Ansari MS, Cockburn BF, Han J. An improved logarithmic multiplier for energy-efficient neural computing. IEEE Trans Comput 2020;70(4):614–25

    Article  MathSciNet  MATH  Google Scholar 

  59. Mohapatra D, Chippa VK, Raghunathan A, Roy K. Design of voltage-scalable meta-functions for approximate computing. In: 2011 design, automation & test in Europe. New York: IEEE; 2011. pp 1–6

    Google Scholar 

  60. Chen J, Hu J. Energy-efficient digital signal processing via voltage-overscaling-based residue number system. IEEE Trans Very Large Scale Integr (VLSI) Syst 2012;21(7):1322–32

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Cheng Zhuo .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Cite this chapter

Wu, Y., Chen, C., Wen, C., Qian, W., Yin, X., Zhuo, C. (2022). Approximate Multiplier Design for Energy Efficiency: From Circuit to Algorithm. In: Liu, W., Lombardi, F. (eds) Approximate Computing. Springer, Cham. https://doi.org/10.1007/978-3-030-98347-5_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-98347-5_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-98346-8

  • Online ISBN: 978-3-030-98347-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics