Skip to main content

A DfT Strategy for Detecting Emerging Faults in RRAMs

  • Conference paper
  • First Online:
VLSI-SoC: Technology Advancement on SoC Design (VLSI-SoC 2021)

Abstract

Limitations on Complementary Metal Oxide Semiconductor (CMOS) technology scaling combined with the increasing demand for emerging applications requiring high computing and storage capabilities pose significant challenges to device technologies and computer architectures. From the point of view of device technology, memristive devices have become the most promising candidate to complement and/or replace CMOS technology. The key advantages are the memristive device’s CMOS manufacturing process compatibility, zero standby power consumption, high scalability and density, as well as the memristive device’s capability to implement high-density memories as well as new computing paradigms. Despite all these advantages, these novel devices are also susceptible to manufacturing deviations that may cause faulty behaviors not observed in CMOS technology, significantly increasing the test complexity. In such context, this paper presents a Design-for-Testability (DfT) strategy able to detect traditional as well as unique faults in Resistive Random Access Memories (RRAMs). In more detail, an on-chip sensor able to perform electrical measurements, while performing a predefined operating sequence, was implemented using an X-Fab technology library. The obtained results demonstrate the proposed strategy’s capability to detect unique faults in RRAM cells. Finally, the paper provides a discussion about introduced overheads and implementation granularity.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Moore, G.E.: Cramming more components onto integrated circuits with unit cost. Electronics 38(8), 114 (1965). https://newsroom.intel.com/wp-content/uploads/sites/11/2018/05/moores-law-electronics.pdf

  2. Dennard, R., Gaensslen, F., Yu, W.-N., Rideout, L., Bassous, E., Le Blanc, A.: Design of ion-implanted small MOSFET. S dimensions with very. IEEE J. Solid State Circ. 9(5), 257–268 (1974)

    Article  Google Scholar 

  3. Hamdioui, S., et al.: Memristor for computing: myth or reality? In: Proceedings of the 2017 Design, Automation and Test in Europe, DATE 2017. Institute of Electrical and Electronics Engineers Inc., pp. 722–731 (2017)

    Google Scholar 

  4. Mazumder, P., Kang, S.M., Waser, R.: Memristors: devices, models, and applications. Proc. IEEE 100(6), 1911–1919 (2012)

    Article  Google Scholar 

  5. Chaudhuri, A., Chakrabarty, K.: Analysis of process variations, defects, and design-induced coupling in memristors. In: 2018 IEEE International Test Conference (ITC), pp. 1–10 (2018)

    Google Scholar 

  6. Poehls, L.M.B., et al.: Review of manufacturing process defects and their effects on memristive devices. J. Electron. Test. 37(4), 427–437 (2021). https://doi.org/10.1007/s10836-021-05968-8

    Article  Google Scholar 

  7. Hamdioui, S., Taouil, M., Haron, N.Z.: Testing open defects in memristor-based memories. IEEE Trans. Comput. 64(1), 247–259 (2015)

    Article  MathSciNet  Google Scholar 

  8. Haron, N.Z., Hamdioui, S.: DFT schemes for resistive open defects in RRAMs. In: 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 799–804. IEEE (2012)

    Google Scholar 

  9. Hamdioui, S., Taouil, M., Haron, N.Z.: Testing open defects in memristor-based memories. IEEE Trans. Comput. 64(1), 247–259 (2013)

    Article  MathSciNet  Google Scholar 

  10. Fieback, M., et al.: Device-aware test: a new test approach towards DPPB level. In: Proceedings - International Test Conference, vol. 2019-Novem (2019)

    Google Scholar 

  11. Fieback, M., Taouil, M., Hamdioui, S.: Testing resistive memories: where are we and what is missing? In: 2018 IEEE International Test Conference (ITC), pp. 1–9 (2018)

    Google Scholar 

  12. Kannan, S., Rajendran, J., Karri, R., Sinanoglu, O.: Sneak-path testing of crossbar-based nonvolatile random access memories. IEEE Trans. Nanotechnol. 12(3), 413–426 (2013)

    Article  Google Scholar 

  13. Copetti, T.S., Gemmeke, T., Poehls, L.B.: Validating a DFT strategy’s detection capability regarding emerging faults in RRAMs. In: 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SoC), pp. 1–6 (2021)

    Google Scholar 

  14. Jart vcm v1b. http://www.emrl.de/JART.html. Accessed 11 Apr 2021

  15. Chua, L.: Memristor - the missing current element. IEEE Trans. Circ. Theory CT–18(5), 507–519 (1971)

    Article  Google Scholar 

  16. Vatajelu, E.I., Prinetto, P., Taouil, M., Hamdioui, S.: Challenges and solutions in emerging memory testing. IEEE Trans. Emerg. Top. Comput. 7(3), 493–506 (2017)

    Article  Google Scholar 

  17. Waser, R.: Electrochemical and thermochemical memories. In: Technical Digest - International Electron Devices Meeting, IEDM (2008)

    Google Scholar 

  18. Yu, J., Du Nguyen, H.A., Xie, L., Taouil, M., Hamdioui, S.: Memristive devices for computation-in-memory. In: 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1646–1651. IEEE (2018)

    Google Scholar 

  19. Ielmini, D., Milo, V.: Physics-based modeling approaches of resistive switching devices for memory and in-memory computing applications. J. Comput. Electron. 16(4), 1121–1143 (2017). https://doi.org/10.1007/s10825-017-1101-9

    Article  Google Scholar 

  20. Waser, R., Dittmann, R., Staikov, C., Szot, K.: Redox-based resistive switching memories nanoionic mechanisms, prospects, and challenges. Adv. Mater. 21(25–26), 2632–2663 (2009)

    Article  Google Scholar 

  21. Hardtdegen, A., La Torre, C., Cuppers, F., Menzel, S., Waser, R., Hoffmann-Eifert, S.: Improved switching stability and the effect of an internal series resistor in HfO2/TiOx Bilayer ReRAM cells. IEEE Trans. Electron Devices 65(8), 3229–3236 (2018)

    Article  Google Scholar 

  22. Medeiros, G.C., et al.: Hard-to-detect fault analysis in finfet srams. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 29(6), 1271–1284 (2021)

    Article  Google Scholar 

  23. Arvindam, S., Kumar, V., Nageshwara Rao, V., Singh, V.: Automatic test pattern generation on multiprocessors: a summary of results. In: Ramani, S., Chandrasekar, R., Anjaneyulu, K.S.R. (eds.) KBCS 1989. LNCS, vol. 444, pp. 39–51. Springer, Heidelberg (1990). https://doi.org/10.1007/BFb0018367

    Chapter  Google Scholar 

  24. Haron, N. Z., Hamdioui, S.: On defect oriented testing for hybrid CMOS/memristor memory. In: 2011 Asian Test Symposium, pp. 353–358. IEEE (2011)

    Google Scholar 

  25. Chen, C.-Y., et al.: Rram defect modeling and failure analysis based on march test and a novel squeeze-search scheme. IEEE Trans. Comput. 64(1), 180–190 (2014)

    Article  MathSciNet  Google Scholar 

  26. Chen, Y.X., Li, J.F.: Fault modeling and testing of 1T1R memristor memories. In: 2015 IEEE 33rd VLSI Test Symposium (VTS), pp. 1–6. IEEE (2015)

    Google Scholar 

  27. Kannan, S., Rajendran, J., Karri, R., Sinanoglu, O.: Sneak-path testing of memristor-based memories. In: 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems, pp. 386–391. IEEE (2013)

    Google Scholar 

  28. Rabaey, J.M., Chandrakasan, A.P., Nikolić, B.: Digital integrated circuits: a design perspective, vol. 7. Pearson education Upper Saddle River, NJ (2003)

    Google Scholar 

Download references

Acknowledgment

This work was supported by the Federal Ministry of Education and Research (BMBF, Germany) within the NEUROTEC I & II projects 16ES1134 and 16ME0399.

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Thiago Santos Copetti , Tobias Gemmeke or Leticia Maria Bolzani Poehls .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 IFIP International Federation for Information Processing

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Copetti, T.S., Gemmeke, T., Poehls, L.M.B. (2022). A DfT Strategy for Detecting Emerging Faults in RRAMs. In: Grimblatt, V., Chang, C.H., Reis, R., Chattopadhyay, A., Calimera, A. (eds) VLSI-SoC: Technology Advancement on SoC Design. VLSI-SoC 2021. IFIP Advances in Information and Communication Technology, vol 661. Springer, Cham. https://doi.org/10.1007/978-3-031-16818-5_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-16818-5_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-16817-8

  • Online ISBN: 978-3-031-16818-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics