Skip to main content

FEM Modeling of Thermal Aspect of Dielectric Inserted Under Source & Drain of 5 nm Nanosheet

  • Conference paper
  • First Online:
VLSI Design and Test (VDAT 2022)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 1687))

Included in the following conference series:

  • 767 Accesses

Abstract

Nanoscale device design beyond 20 nm technology nodes constrain material thermal conductivity and exacerbates the self-heating phenomenon in Multigate MOSFETs such as FinFET and Nanosheet. The presence of dielectric under the source and drain in stacked Nanoheet Transistors (SNT) exacerbates the Self Heating Effect because it breaks the heat flow path due to low thermal conductivity. From a performance and reliability standpoint, this research focuses on the thermal aspect of the SNT after insertion of the dielectric under the source and drain. The effect of dielectric insertion under the source and drain on lattice temperature was investigated using electrothermal simulation of single and double stack nanosheet transistors. The effects of dielectric insertion thickness under the source and drain on the substrate, as well as SNT channel temperature analyzed and its variation with width and extension length of SNT are explained.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Pan, C., et al.: Technology/system codesign and benchmarking for lateral and vertical GAA nanowire FETs at 5-nm technology node. IEEE Trans. Electron Device 62(10), 3125–3132 (2015)

    Article  Google Scholar 

  2. Zheng, P., Connelly, D., Ding, F., Liu, T.-J.K.: FinFET evolution toward stacked-nanowire FET for CMOS technology scaling. IEEE Trans. Electron Device 62(12), 3945–3950 (2015)

    Article  Google Scholar 

  3. Jang, D., et al.: Device exploration of nanosheet transistors for sub-7-nm technology node. IEEE Trans. Electron Devices 64(6), 2707–2713 (2017)

    Article  Google Scholar 

  4. Chhabria, V.A., Sapatnekar, S.S.: Impact of self-heating on performance and reliability in FinFET and GAAFET designs. In: IEEE 20th International Symposium on Quality Electronic Design, pp. 235–240. IEEE USA (2019)

    Google Scholar 

  5. Xu, C., Kolluri, S.K., Endo, K., Banerjee, K.: Analytical thermal model for self-heating in advanced FinFET devices with implications for design and reliability. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 32(7), 1045–1058 (2013)

    Google Scholar 

  6. Ahn, W., Jiang, C., Xu, J., Alam, M.A.: A new framework of physics-based compact model predicts reliability of self-heated modern ICs: FinFET, NWFET, NSHFET comparison. In: IEDM Tech. Dig., pp. 1–4. IEEE, USA (2017)

    Google Scholar 

  7. Chen, W., Cai, L., Wang, K., Zhang, X., Liu, X., Du, G.: Self-heating induced variability and reliability in nanosheet-FETs based SRAM. In: International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), pp. 1–4. IEEE, Singapore (2018)

    Google Scholar 

  8. Cai, L., Chen, W., Du, G., Kang, J., Zhang, X., Liu, X.: Investigation of self-heating effect on stacked nanosheet GAA transistors. In: Proceedings International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), pp. 1–2. IEEE, Taiwan (2018)

    Google Scholar 

  9. Cai, L., et al.: A physics-based thermal model of nanosheet MOSFETs for device-circuit co-design. In: IEDM Tech. Dig., pp. 1–4. IEEE, San Francisco (2018)

    Google Scholar 

  10. Kang, M.J., Myeong, I., Kang, M., Shin, H.: Analysis of DC self-heating effect in stacked nanosheet gate-all-around transistor. In: Proceeding 2nd Electron Devices Technology and Manufacturing Conference (EDTM), pp. 343–345. IEEE, Japan (2018)

    Google Scholar 

  11. Venkateswarlu, S., Nayak, K.: Hetero-interfacial thermal resistance effects on device performance of stacked gate-all-around nanosheet FET. IEEE Trans. Electron Devices 67(10), 4493–4499 (2020)

    Article  Google Scholar 

  12. Liu, R., Li, X., Sun, Y., Shi, Y.: A vertical combo spacer to optimize electrothermal characteristics of 7-nm nanosheet gate-all-around transistor. IEEE Trans. Electron Devices 67(6), 2249–2254 (2020)

    Article  Google Scholar 

  13. Chung, C.-C., Ye, H.-Y., Lin, H.H., Wan, W.K., Yang, M.-T., Liu, C.W.: Self-heating induced interchannel Vt difference of vertically stacked Si nanosheet gate-all-around MOSFETs. IEEE Electron Device Lett. 40(12), 1913–1916 (2019)

    Article  Google Scholar 

  14. Loubet, N., et al.: Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET. In: 2017 Symposium on VLSI Technology, pp. T230–T231. IEEE, Japan (2017)

    Google Scholar 

  15. Jegadheesan, V., Sivasankaran, K.A.: Source/drain-on-insulator structure to improve the performance of stacked nanosheet field-effect transistors. J. Comput. Electron. 19, 1136–1143 (2020)

    Google Scholar 

  16. TCAD Sentaurus Suite, Version O-2018.06, Synopsys, Mountain View, CA, USA (2012)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vivek Kumar .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Kumar, V., Patel, J., Datta, A., Dasgupta, S. (2022). FEM Modeling of Thermal Aspect of Dielectric Inserted Under Source & Drain of 5 nm Nanosheet. In: Shah, A.P., Dasgupta, S., Darji, A., Tudu, J. (eds) VLSI Design and Test. VDAT 2022. Communications in Computer and Information Science, vol 1687. Springer, Cham. https://doi.org/10.1007/978-3-031-21514-8_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-21514-8_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-21513-1

  • Online ISBN: 978-3-031-21514-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics