Skip to main content

Prototyping Reconfigurable RRAM-Based AI Accelerators Using the RISC-V Ecosystem and Digital Twins

  • Conference paper
  • First Online:
High Performance Computing (ISC High Performance 2023)

Abstract

The recent decades have given advent to the rise of sophisticated High Performance Computing (HPC) accelerators, vastly speeding up calculations. In the last years dedicated AI accelerators, meant for the evaluation of Artificial Neural Networks, have gathered traction. Resistive Random Access Memory (RRAM) devices are a possible future candidate for these accelerators since crossbar implementations allow for the evaluation of matrix vector multiplications in \(\mathcal {O}(1)\). Unfortunately integrating these novel devices into accelerators challenges since they still suffer from device variations and require sophisticated peripheral circuitry. Additionally, suitable design flows are missing since these cells are difficult to integrate into the traditional digital flow. While multiple foundries are able to fabricate promising RRAM prototypes suffering less from device variations, full system integration tends to be lacking. Fortunately the rise of the RISC-V ecosystem has enabled eased access to a fully customizable ISA. We propose to exploit the advantages of the RRAM devices combined with the flexibility of RISC-V cores by integrating multiple RRAM-based blocks into a RISC-V core via Memory Mapped I/O (MMIO), resulting in an architecture which can be reconfigured in software. Additionally, we propose a possible approach for the design, simulation and verification of large RRAM systems, namely setting up three closely intertwined simulation environments and illustrate its applicability by integrating, characterizing and validating a RRAM-based MVM block fabricated in 130 nm technology. Finally, we demonstrate that RRAM technologies might be ready for HPC.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 79.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 99.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Leibniz-Institut für innovative Mikroelektronik.

References

  1. Asanovic, K., et al.: The rocket chip generator. EECS Department, University of California, Berkeley, Technical report UCB/EECS-2016-17 4 (2016)

    Google Scholar 

  2. Asanović, K., Patterson, D.A.: Instruction sets should be free: the case for RISC-V. EECS Department, University of California, Berkeley, Technical report UCB/EECS-2014-146 (2014)

    Google Scholar 

  3. Damian, M., Oppermann, J., Spang, C., Koch, A.: SCAIE-V: an open-source scalable interface for ISA extensions for RISC-V processors. In: Proceedings of the 59th ACM/IEEE Design Automation Conference, pp. 169–174 (2022)

    Google Scholar 

  4. Fritscher, M., et al.: Mitigating the effects of RRAM process variation on the accuracy of artificial neural networks. In: Orailoglu, A., Jung, M., Reichenbach, M. (eds.) SAMOS 2021. LNCS, vol. 13227, pp. 401–417. Springer, Cham (2022). https://doi.org/10.1007/978-3-031-04580-6_27

    Chapter  Google Scholar 

  5. Greengard, S.: Will RISC-V revolutionize computing? Commun. ACM 63(5), 30–32 (2020)

    Article  Google Scholar 

  6. Hu, M., Li, H., Chen, Y., Wu, Q., Rose, G.S., Linderman, R.W.: Memristor crossbar-based neuromorphic computing system: a case study. IEEE Trans. Neural Netw. Learn. Syst. 25(10), 1864–1878 (2014)

    Article  Google Scholar 

  7. Kermarrec, F., Bourdeauducq, S., Badier, H., Le Lann, J.C.: LiteX: an open-source SoC builder and library based on Migen Python DSL. In: OSDA 2019, Colocated with DATE 2019 Design Automation and Test in Europe (2019)

    Google Scholar 

  8. Milo, V., et al.: Accurate program/verify schemes of resistive switching memory (RRAM) for in-memory neural network circuits. IEEE Trans. Electron Devices 68(8), 3832–3837 (2021)

    Article  Google Scholar 

  9. Perez, E., Zambelli, C., Mahadevaiah, M.K., Olivo, P., Wenger, C.: Toward reliable multi-level operation in RRAM arrays: improving post-algorithm stability and assessing endurance/data retention. IEEE J. Electron Devices Soc. 7, 740–747 (2019)

    Article  Google Scholar 

  10. Peters, C., Adler, F., Hofmann, K., Otterstedt, J.: Reliability of 28nm embedded RRAM for consumer and industrial products. In: 2022 IEEE International Memory Workshop (IMW), pp. 1–3. IEEE (2022)

    Google Scholar 

  11. Shafiee, A., et al.: ISAAC: a convolutional neural network accelerator with in-situ analog arithmetic in crossbars. ACM SIGARCH Comput. Archit. News 44(3), 14–26 (2016)

    Article  Google Scholar 

  12. Strohmaier, E., Meuer, H.W., Dongarra, J., Simon, H.D.: The TOP500 list and progress in high-performance computing. Computer 48(11), 42–49 (2015)

    Article  Google Scholar 

  13. Truong, M.S., et al.: RACER: bit-pipelined processing using resistive memory. In: MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 100–116 (2021)

    Google Scholar 

  14. Various: Spike RISC-V isa simulator. https://github.com/riscv-software-src/riscv-isa-sim (2023)

  15. Various: VexRiscv RISC-V implementation. https://github.com/SpinalHDL/VexRiscv (2023)

  16. Wang, Y.E., Wei, G.Y., Brooks, D.: Benchmarking TPU, GPU, and CPU platforms for deep learning. arXiv preprint arXiv:1907.10701 (2019)

  17. Xia, L., Gu, P., Li, B., Tang, T., Yin, X., Huangfu, W., Yu, S., Cao, Y., Wang, Y., Yang, H.: Technological exploration of RRAM crossbar array for matrix-vector multiplication. J. Comput. Sci. Technol. 31(1), 3–19 (2016)

    Article  MathSciNet  Google Scholar 

  18. Yu, S., Guan, X., Wong, H.S.P.: On the switching parameter variation of metal oxide RRAM-part II: model corroboration and device design strategy. IEEE Trans. Electron Devices 59(4), 1183–1188 (2012)

    Article  Google Scholar 

  19. Zhu, L., et al.: Heterogeneous 3D integration for a RISC-V system with STT-MRAM. IEEE Comput. Archit. Lett. 19(1), 51–54 (2020)

    Article  MathSciNet  Google Scholar 

  20. Zou, X., Xu, S., Chen, X., Yan, L., Han, Y.: Breaking the von Neumann bottleneck: architecture-level processing-in-memory technology. Sci. China Inf. Sci. 64(6), 160404 (2021)

    Google Scholar 

Download references

Acknowledgement

This work was supported in parts by the BMBF by the Federal Ministry of Education and Research (BMBF, Germany) in the Projects iCampus II (Project No. 16ES1128K), KI-PRO (Project No. 16ES1002), KI-IoT (Project No. 16ME0092), HEP (Project No. 16KIS1339K) and 6G-RIC (Project No. 16KISK026). The authors gratefully acknowledge the scientific support and HPC resources provided by the Erlangen National High Performance Computing Center (NHR@FAU) of the Friedrich-Alexander-Universität Erlangen-Nürnberg (FAU). The hardware is funded by the German Research Foundation (DFG). The authors would also like to thank Tim Henkes (Hochschule RheinMain) for creating the Vexriscv layout and Frank Vater (IHP) for continuous support.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Markus Fritscher .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Fritscher, M. et al. (2023). Prototyping Reconfigurable RRAM-Based AI Accelerators Using the RISC-V Ecosystem and Digital Twins. In: Bienz, A., Weiland, M., Baboulin, M., Kruse, C. (eds) High Performance Computing. ISC High Performance 2023. Lecture Notes in Computer Science, vol 13999. Springer, Cham. https://doi.org/10.1007/978-3-031-40843-4_37

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-40843-4_37

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-40842-7

  • Online ISBN: 978-3-031-40843-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics