Skip to main content

Online Quantization Adaptation for Fault-Tolerant Neural Network Inference

  • Conference paper
  • First Online:
Computer Safety, Reliability, and Security (SAFECOMP 2023)

Abstract

Neural networks (NNs) are commonly used for environmental perception in autonomous driving applications. Safety aspects in such systems play a crucial role along with performance and efficiency. Since NNs exhibit enormous computational demands, safety measures that rely on traditional spatial or temporal redundancy for mitigating hardware (HW) faults are far from ideal. In this paper, we combine algorithmic properties with dedicated HW features to achieve lightweight fault tolerance. We leverage that many NNs maintain their accuracy when quantized to lower bit widths and adapt their quantization configuration during runtime to counteract HW faults. Instead of masking computations that are performed on faulty HW, we introduce a fail-degraded operating mode. In this mode, reduced precision computations are exploited for NN operations, as opposed to fully losing compute capability. This allows us to maintain important synapses of the network and thus preserve its accuracy. The required HW overhead for our method is minimal because we reuse existing HW features that were originally implemented for functional reasons. To demonstrate the effectiveness of our method, we simulate permanent HW faults in a NN accelerator and evaluate the impact on a NN’s classification performance. We can preserve a NN’s accuracy even at higher error rates, whereas without our method it completely loses its prediction capabilities. Accuracy drops in our experiments range from a few percent to a maximum of \(10\%\), confirming the improved fault tolerance of the system.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 49.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 64.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Baumann, R.: Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans. Device Mater. Rel. 5(3), 305–316 (2005)

    Article  Google Scholar 

  2. Beyer, M., Schorn, C., Fabarisov, T., Morozov, A., Janschek, K.: Automated hardening of deep neural network architectures. In: ASME International Mechanical Engineering Congress and Exposition (IMECE), vol. 13 (2021)

    Google Scholar 

  3. Camus, V., Mei, L., Enz, C., Verhelst, M.: Review and benchmarking of precision-scalable multiply-accumulate unit architectures for embedded neural-network processing. IEEE J. Emerg. Sel. Top. Circuits Syst. 9(4), 697–711 (2019)

    Article  Google Scholar 

  4. Castano, V., Schagaev, I.: Resilient Computer System Design. Springer, Cham (2015). https://doi.org/10.1007/978-3-319-15069-7

    Book  Google Scholar 

  5. Chen, Y.H., Krishna, T., Emer, J.S., Sze, V.: Eyeriss: an energy-efficient reconfigurable accelerator for deep convolutional neural networks. IEEE J. Solid-State Circuits 52(1), 127–138 (2017)

    Article  Google Scholar 

  6. DeVries, T., Taylor, G.W.: Improved regularization of convolutional neural networks with cutout. arXiv preprint arXiv:1708.04552 (2017)

  7. Gambardella, G., et al.: Efficient error-tolerant quantized neural network accelerators. In: 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), pp. 1–6. IEEE (2019)

    Google Scholar 

  8. Ghodrati, S., Sharma, H., Young, C., Kim, N.S., Esmaeilzadeh, H.: Bit-parallel vector composability for neural acceleration. In: 2020 57th ACM/IEEE Design Automation Conference (DAC), pp. 1–6. IEEE (2020)

    Google Scholar 

  9. He, K., Zhang, X., Ren, S., Sun, J.: Deep residual learning for image recognition. In: IEEE Conference on Computer Vision and Pattern Recognition (CVPR), pp. 770–778. IEEE (2016)

    Google Scholar 

  10. Kim, S., Howe, P., Moreau, T., Alaghi, A., Ceze, L., Sathe, V.S.: Energy-efficient neural network acceleration in the presence of bit-level memory errors. IEEE Trans. Circuits Syst. I 65(12), 4285–4298 (2018)

    Article  Google Scholar 

  11. Krizhevsky, A.: Learning Multiple Layers of Features from Tiny Images. Technical report (2009)

    Google Scholar 

  12. Liu, C., et al.: HyCA: a hybrid computing architecture for fault tolerant deep learning. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 41(10), 3400–3413 (2022)

    Google Scholar 

  13. Loshchilov, I., Hutter, F.: SGDR: stochastic gradient descent with warm restarts. In: International Conference on Learning Representations (2017)

    Google Scholar 

  14. Menard, D., Novo, D., Rocher, R., Catthoor, F., Sentieys, O.: Quantization mode opportunities in fixed-point system design. In: 2010 18th European Signal Processing Conference, pp. 542–546. IEEE (2010)

    Google Scholar 

  15. Nolting, S., Giesemann, F., Hartig, J., Schmider, A., Paya-Vaya, G.: Application-specific soft-core vector processor for advanced driver assistance systems. In: 2017 27th International Conference on Field Programmable Logic and Applications (FPL), pp. 1–2. IEEE (2017)

    Google Scholar 

  16. Ozen, E., Orailoglu, A.: Sanity-check: boosting the reliability of safety-critical deep neural network applications. In: 2019 IEEE 28th Asian Test Symposium (ATS), pp. 7–75. IEEE (2019)

    Google Scholar 

  17. Ozen, E., Orailoglu, A.: Boosting bit-error resilience of DNN accelerators through median feature selection. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 39(11), 3250–3262 (2020)

    Google Scholar 

  18. De la Parra, C., Guntoro, A., Kumar, A.: ProxSim: GPU-based simulation framework for cross-layer approximate DNN optimization. In: 2020 Design, Automation and Test in Europe (DATE), pp. 1193–1198. IEEE (2020)

    Google Scholar 

  19. Reagen, B., et al.: Ares: a framework for quantifying the resilience of deep neural networks. In: 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), pp. 1–6. Association for Computing Machinery (2018)

    Google Scholar 

  20. Schorn, C., Guntoro, A., Ascheid, G.: Accurate neuron resilience prediction for a flexible reliability management in neural network accelerators. In: 2018 Design, Automation and Test in Europe (DATE), pp. 979–984. IEEE (2018)

    Google Scholar 

  21. Sharma, H., et al.: Bit fusion: bit-level dynamically composable architecture for accelerating deep neural network. In: 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), pp. 764–775. IEEE (2018)

    Google Scholar 

  22. Simonyan, K., Zisserman, A.: Very deep convolutional networks for large-scale image recognition. arXiv preprint arXiv:1409.1556 (2014)

  23. Song, Z., et al.: DRQ: dynamic region-based quantization for deep neural network acceleration. In: 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA), pp. 1010–1021 (2020)

    Google Scholar 

  24. Stallkamp, J., Schlipsing, M., Salmen, J., Igel, C.: Man vs. computer: benchmarking machine learning algorithms for traffic sign recognition. Neural Netw. 32, 323–332 (2012)

    Google Scholar 

  25. Sze, V., Chen, Y.H., Yang, T.J., Emer, J.S.: Efficient processing of deep neural networks: a tutorial and survey. Proc. IEEE 105(12), 2295–2329 (2017)

    Article  Google Scholar 

  26. Takanami, I., Fukushi, M.: A built-in circuit for self-repairing mesh-connected processor arrays with spares on diagonal. In: 2017 IEEE 22nd Pacific Rim International Symposium on Dependable Computing (PRDC), pp. 110–117 (2017)

    Google Scholar 

  27. Takanami, I., Horita, T.: A built-in circuit for self-repairing mesh-connected processor arrays by direct spare replacement. In: 2012 IEEE 18th Pacific Rim International Symposium on Dependable Computing (PRDC), pp. 96–104 (2012)

    Google Scholar 

  28. Thieu, G.B., et al.: ZuSE-KI-AVF: application-specific AI processor for intelligent sensor signal processing in autonomous driving. In: 2023 Design, Automation and Test in Europe (DATE) (2023)

    Google Scholar 

  29. Vogel, S., Springer, J., Guntoro, A., Ascheid, G.: Self-supervised quantization of pre-trained neural networks for multiplierless acceleration. In: 2019 Design, Automation and Test in Europe (DATE), pp. 1094–1099. IEEE (2019)

    Google Scholar 

  30. Zhang, J.J., Basu, K., Garg, S.: Fault-tolerant systolic array based accelerators for deep neural network execution. IEEE Des. Test 36(5), 44–53 (2019)

    Article  Google Scholar 

Download references

Acknowledgements

This work is supported by the German federal ministry of education and research (BMBF), project ZuSE-KI-AVF (grant no. 16ME0062).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Michael Beyer .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Beyer, M., Borrmann, J.M., Guntoro, A., Blume, H. (2023). Online Quantization Adaptation for Fault-Tolerant Neural Network Inference. In: Guiochet, J., Tonetta, S., Bitsch, F. (eds) Computer Safety, Reliability, and Security. SAFECOMP 2023. Lecture Notes in Computer Science, vol 14181. Springer, Cham. https://doi.org/10.1007/978-3-031-40923-3_18

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-40923-3_18

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-40922-6

  • Online ISBN: 978-3-031-40923-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics