Skip to main content

Exploring Opportunities for Non-volatile Memories in Big Data Applications

  • Conference paper
  • First Online:
Big Data Benchmarks, Performance Optimization, and Emerging Hardware (BPOE 2014)

Part of the book series: Lecture Notes in Computer Science ((LNISA,volume 8807))

Abstract

Large-capacity memory system allows big data applications to load as much data as possible for in-memory processing, which improves application performance. However, DRAM faces both scalability and energy challenges due to its inherent charging mechanism. Thus, DRAM-based memory system incurs excessive cost to meet both capacity and energy requirements for the emerging big data workloads. Fortunately, non-volatile memories(NVMs) are emerging with the advanced features of better scalability and lower power leakage. Integrating NVMs into main memory is non-trivial as NVMs have a few weakness, such as asymmetric read and write latency and power. Designing memory system comprising both DRAM and NVMs requires to understand the memory access behaviors of big data applications. In this paper, we first investigate the memory access patterns of both typical big data workloads and traditional parallel workloads. By doing so, we show the read/write intensity as well as temporal/spatial locality of big data workloads. We then replay memory access traces of big data applications to DRAM simulator and PCM simulator, respectively. We explore design implications of hybrid memory comprising DRAM and PCM.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. International technology roadmap for semiconductors emerging research devices (2011). http://www.itrs.net

  2. Bao, Y., Chen, M., Ruan, Y., Liu, L., Fan, J., Yuan, Q., Song, B., Xu, J.: HMTT: a platform independent full-system memory trace monitoring system. In: Proceedings of the International Conference on Measurement and Modeling of Computer Systems, pp. 229–240 (2008)

    Google Scholar 

  3. Caulfield, A.M., Coburn, J., Mollov, T., De, A., Akel, A., He, J., Jagatheesan, A., Gupta, R.K., Snavely, A., Swanson, S.: Understanding the impact of emerging non-volatile memories on high-performance, IO-intensive computing. In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, pp. 1–11 (2010)

    Google Scholar 

  4. Chang, J., Lim, K.T., Byrne, J., Ramirez, L., Ranganathan, P.: Workload diversity and dynamics in big data analytics: implications to system designers. In: Proceedings of the 2nd Workshop on Architectures and Systems for Big Data, pp. 21–26 (2012)

    Google Scholar 

  5. Dhiman, G., Ayoub, R., Rosing, T.: PDRAM a hybrid PRAM and DRAM main memory system. In: Proceedings of the Design Automation Conference, pp. 664–669 (2009)

    Google Scholar 

  6. Dieny, B., Sousa, R., Bandiera, S., Castro Souza, M., Auffret, S., Rodmacq, B., Nozieres, J., Herault, J., Gapihan, E., Prejbeanu, I., et al.: Extended scalability and functionalities of MRAM based on thermally assisted writing. In: Proceedings of the International Electron Devices Meeting, pp. 1–3 (2011)

    Google Scholar 

  7. Jia, Z., Wang, L., Zhan, J., Zhang, L., Luo, C.: Characterizing data analysis workloads in data centers. CoRR 1307.8013 (2013)

    Google Scholar 

  8. Kawahara, A., Azuma, R., Ikeda, Y., Kawai, K., Katoh, Y., Tanabe, K., Nakamura, T., Sumimoto, Y., Yamada, N., Nakai, N., Sakamoto, S., Hayakawa, Y., Tsuji, K., Yoneda, S., Himeno, A., Origasa, K., Shimakawa, K., Takagi, T., Mikawa, T., Aono, K.: An 8mb multi-layered cross-pointReRAM macro with 443MB/s write throughput. In: Proceedings International Solid-State Circuits Conference, pp. 432–434 (2012)

    Google Scholar 

  9. Lee, H.G., Baek, S., Nicopoulos, C., Kim, J.: An energy- and performance-aware DRAM cache architecture for hybrid DRAM/PCM main memory systems. In: Proceedings of the International Computer Design Conference, pp. 381–387 (2011)

    Google Scholar 

  10. Li, D., Vetter, J.S., Marin, G., McCurdy, C., Cira, C., Liu, Z., Yu, W.: Identifying opportunities for byte-addressable non-volatile memory in extreme-scale scientific applications. In: Proceedings International Parallel and Distributed Processing Symposium, pp. 945–956 (2012)

    Google Scholar 

  11. Li, J., Xue, C., Xu, Y.: STT-RAM based energy-efficiency hybrid cache for CMPs. In: Proceedings of the International Conference on VLSI and System-on-Chip, pp. 31–36 (2011)

    Google Scholar 

  12. Lim, K., Ranganathan, P., Chang, J., Patel, C., Mudge, T.N., Reinhardt, S.: Understanding and designing new server architectures for emerging warehouse-computing environments. In: Proceedings of Annual International Symposium on Computer Architecture, pp. 315–326 (2008)

    Google Scholar 

  13. Martin Dimitrov, Karthik Kumar, P.L., Viswanathan, V.: Memory system characterization of big data workloads. In: The 1st Workshop on Benchmarks, Performance Optimization, and Emerging hardware of Big Data Systems and Applications (2013)

    Google Scholar 

  14. Nirschl, T., Phipp, J.B., Happ, T.D., Burr, G.W., Rajendran, B., Lee, M.H., Schrott, A., Yang, M., Breitwisch, M., Chen, C.F., Joseph, E., Lamorey, M., Cheek, R., Chen, S.H., Zaidi, S., Raoux, S., Chen, Y.C., Zhu, Y., Bergmann, R., Lung, H.L., Lam, C.: Write strategies for 2 and 4-bit multi-level phase-change memory. In: Proceedings of the International Electron Devices Meeting, pp. 461–464 (2007)

    Google Scholar 

  15. Ramos, L.E., Gorbatov, E., Bianchini, R.: Page placement in hybrid memory systems. In: Proceedings of the International Conference on Supercomputing, pp. 85–95 (2011)

    Google Scholar 

  16. Rosenfeld, P., Cooper-Balis, E., Jacob, B.: DRAMSim2: a cycle accurate memory system simulator. Comput. Archit. Lett. 10, 16–19 (2011)

    Article  Google Scholar 

  17. Smullen, C., Mohan, V., Nigam, A., Gurumurthi, S., Stan, M.: Relaxing non-volatility for fast and energy-efficient stt-ram caches. In: Proceedings of the International Symposium on High Performance Computer Architecture, pp. 50–61 (2011)

    Google Scholar 

  18. Van Essen, B., Pearce, R., Ames, S., Gokhale, M.: On the role of NVRAM in data-intensive architectures: an evaluation. In: Proceedings of the International Parallel Distributed Processing Symposium, pp. 703–714 (2012)

    Google Scholar 

  19. Wang, L., Luo, C., He, Y., Zhan, J., Zhan, K., Li, X., Zhu, Y., Zhang, S., Yang, Q., Qiu, B., Jia, Z.: Bigdatabench: a big data benchmark suite from internet services. In: Proceedings of the International Symposium On High Performance Computer Architecture (2014)

    Google Scholar 

  20. Woo, S.C., Ohara, M., Torrie, E., Singh, J.P., Gupta, A.: The SPLASH-2 programs: characterization and methodological considerations. In: Proceedings of the International Symposium on Computer Architecture, pp. 24–36 (1995)

    Google Scholar 

  21. Xue, C.J., Zhang, Y., Chen, Y., Sun, G., Yang, J.J., Li, H.: Emerging non-volatile memories: opportunities and challenges. In: Proc. International Conference on Hardware/Software Codesign and System Synthesis, pp. 325–334 (2011)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Wei Wei .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer International Publishing Switzerland

About this paper

Cite this paper

Wei, W., Jiang, D., Xiong, J., Chen, M. (2014). Exploring Opportunities for Non-volatile Memories in Big Data Applications . In: Zhan, J., Han, R., Weng, C. (eds) Big Data Benchmarks, Performance Optimization, and Emerging Hardware. BPOE 2014. Lecture Notes in Computer Science(), vol 8807. Springer, Cham. https://doi.org/10.1007/978-3-319-13021-7_16

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-13021-7_16

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-13020-0

  • Online ISBN: 978-3-319-13021-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics