Skip to main content

A Safety Concept for an IEC-61508 Compliant Fail-Safe Wind Power Mixed-Criticality System Based on Multicore and Partitioning

  • Conference paper
  • First Online:
Reliable Software Technologies – Ada-Europe 2015 (Ada-Europe 2015)

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 9111))

Included in the following conference series:

Abstract

The development of mixed-criticality systems that integrate applications of different criticality levels (safety, security, real-time and non-real time) in a single embedded system can provide multiple benefits such as product cost-size-weight reduction, reliability increase and scalability. However, the integration of applications of different levels of criticality in a single embedded system leads to several challenges with respect to safety certification standards. This research paper describes a safety concept for a fail-safe wind turbine mixed-criticality control system based on multicore partitioning that meets IEC-61508 and ISO-13849 industrial safety standards. The safety concept has been positively assessed by a certification body.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. RTCA DO-297 integrated modular avionics (IMA) development guidance and certification considerations (2005)

    Google Scholar 

  2. Mixed criticality systems. Tech. rep., European Comission, February 3, 2012

    Google Scholar 

  3. MULCORS - use of multicore processors in airborne systems (research project easa.2011/6). Tech. rep., EASA, December 16, 2012

    Google Scholar 

  4. 2013 - embedded market study. Tech. rep., UBM Tech (2013)

    Google Scholar 

  5. Abella, J., Cazorla, F.J., Quinones, E., Grasset, A., Yehia, S., Bonnot, P., Gizopoulos, D., Mariani, R., Bernat, G.: Towards improved survivability in safety-critical systems. In: IEEE 17th International On-Line Testing Symposium (IOLTS), pp. 240–245 (2011)

    Google Scholar 

  6. Balacco, S., Rommel, C.: Next generation embedded hardware architectures: Driving onset of project delays, costs overruns and software development challenges. Tech. rep., Klockwork, Inc. September 2010

    Google Scholar 

  7. Buttle, D.: Real-time in the prime-time - ecrts keynote talk. In: Report, ETAS Gmbh (2012)

    Google Scholar 

  8. Corbier, F., Kislin, L., Forgeau, E.: How train transportation design challenges can be addressed with simulation based virtual prototyping for distributed systems. In: 3rd European Congress ERTS - Embedded Real-Time Software (2006)

    Google Scholar 

  9. Crespo, A., Ripoll, I., Masmano, M.: Partitioned embedded architecture based on hypervisor: the XtratuM approach. In: European Dependable Computing Conference (EDCC), pp. 67–72 (2010)

    Google Scholar 

  10. EASA: Development assurance of airborne electronic hardware (2011)

    Google Scholar 

  11. EASA: Certification memorandum - software aspects of certification - easa. Tech. rep. March 9, 2013

    Google Scholar 

  12. Ernst, R.: Certification of trusted MPSoC platforms. In: MPSoC Forum (2010)

    Google Scholar 

  13. ERRAC: Joint strategy for european rail research. Report, The European Rail Research Advisory Council (2001)

    Google Scholar 

  14. Fisher, S.: Certifying applications in a multi-core environment: a new approach gains success. Tech. rep., SYSGO AG (2013)

    Google Scholar 

  15. Fuchsen, R.: How to address certification for multi-core based IMA platforms: Current status and potential solutions. In: IEEE/AIAA 29th Digital Avionics Systems Conference (DASC) (2010)

    Google Scholar 

  16. Galler, D., Slenski, G.: Causes of aircraft electrical failures. IEEE Aerospace and Electronic Systems Magazine 6(8), 3–8 (1991)

    Google Scholar 

  17. Huyck, P.: ARINC 653 and multi-core microprocessors - considerations and potential impacts. In: IEEE/AIAA 31st Digital Avionics Systems Conference (DASC), pp. 6B41-6B47 (2012)

    Google Scholar 

  18. IEC: ISO 13849–1: Safety of machinery - safety-related parts of control systems (2002)

    Google Scholar 

  19. IEC: IEC 61508–1: Functional safety of electrical/electronic/programmable electronic safety-related systems - part 1: General requirements (2010)

    Google Scholar 

  20. IEC: IEC 61508–2: Functional safety of electrical/electronic/programmable electronic safety-related systems - part 2: Requirements for electrical / electronic / programmable electronic safety-related systems (2010)

    Google Scholar 

  21. IEC: IEC 61508–3: Functional safety of electrical/electronic/programmable electronic safety-related systems - part 3: Software requirements (2010)

    Google Scholar 

  22. Jean, X., Gatti, M., VBerthon, G., Fumey, M.: The use of multicore processors in airborne systems. Tech. rep., Thales Avionics (2011)

    Google Scholar 

  23. Kinnan, L.M.: Use of multicore processors in avionics and its potential impact on implementation and certification. SAE Technical Papers (2009)

    Google Scholar 

  24. Kirrmann, H., Zuber, P.A.: The iec/ieee communication network. IEEE Micro 21(2), 81–92 (2001)

    Article  Google Scholar 

  25. Kopetz, H.: The complexity challenge in embedded system design. In: 11th IEEE International Symposium on Object Oriented Real-Time Distributed Computing (ISORC), pp. 3–12 (2008)

    Google Scholar 

  26. Kopetz, H., Obermaisser, R., El Salloum, C., Huber, B.: Automotive software development for a multi-core system-on-a-chip. In: Fourth International Workshop on Software Engineering for Automotive Systems (ICSE Workshops SEAS), pp. 2–9 (2007)

    Google Scholar 

  27. Kotaba, O., Nowotsch, J., Paulitsch, M., Petters, S.M., Theilingx, H.: Multicore in real-time systems - temporal isolation challenges due to shared resources. In: Workshop on Industry-Driven Approaches for Cost-effective Certification of Safety-Critical, Mixed-Criticality Systems (WICERT) (2013)

    Google Scholar 

  28. Leohold, J., Schmidt, C.: Communication requirements for automotive systems. In: 5th IEEE Workshop in Factory Communication Systems (WCFS) (2004)

    Google Scholar 

  29. Mollison, M.S., Erickson, J.P., Anderson, J.H., Baruah, S.K., Scoredos, J.A.: Mixed-criticality real-time scheduling for multicore systems (2010)

    Google Scholar 

  30. Nevalainen, R., Slotosch, O., Truscan, D., Kremer, U., Wong, V.: Impact of multicore platforms in hardware and software certification. In: Workshop on Industry-Driven Approaches for Cost-effective Certification of Safety-Critical, Mixed-Criticality Systems (WICERT) (2013)

    Google Scholar 

  31. Nowotsch, J., Paulitsch, M.: Leveraging multi-core computing architectures in avionics. In: 2012 Ninth European Dependable Computing Conference (EDCC), pp. 132–143 (2012)

    Google Scholar 

  32. Perez, J., Gonzalez, D., Nicolas, C.F., Trapman, T., Garate, J.M.: A safety certification strategy for iec-61508 compliant industrial mixed-criticality systems based on multicore partitioning. In: 17th Euromicro Conference on Digital Systems Design (DSD), Verona, Italy (2014)

    Google Scholar 

  33. Perez, J., Gonzalez, D., Nicolas, C.F., Trapman, T., Garate, J.M.: A safety concept for a wind power mixed-criticality embedded system based on multicore partitioning. In: 11th International Symposium - Functional Safety in Industrial Applications (TÜV Rheinland), Cologne, Germany (2014)

    Google Scholar 

  34. Perez, J., Trapman, A.: Deliverable d7.2 (annex) - wind power case-study safety concept - v03.00 (fp7 multipartes). Report (2014)

    Google Scholar 

  35. Sullivan, S., Slenski, G.: Managing electrical connection systems and wire integrity on legacy aerospace vehicles (2001)

    Google Scholar 

  36. Salloum, C.E., Elshuber, M., Hoftberger, O., Isakovic, H., Wasicek, A.: The ACROSS MPSoC - a new generation of multi-core processors designed for safety-critical embedded systems. In: 2012 15th Euromicro Conference on Digital System Design (DSD), pp. 105–113 (2012)

    Google Scholar 

  37. Schneider, J., Bohn, M., Röbger, R.: Migration of automotive real-time software to multicore systems: First steps towards an automated solution. In: 22nd EUROMICRO Conference on Real-Time Systems (2010)

    Google Scholar 

  38. Swingler, J., McBride, J.W.: The degradation of road tested automotive connectors. In: Forty-Fifth IEEE Holm Conference on Electrical Contacts, pp. 146–152 (1999)

    Google Scholar 

  39. Trujillo, S., Obermaisser, R., Gruettner, K., Cazorla, F., Perez, J.: European project cluster on mixed-criticality systems. In: Design, Automation and Test in Europe (DATE) Workshop 3PMCES (2014)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jon Perez .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer International Publishing Switzerland

About this paper

Cite this paper

Perez, J., Gonzalez, D., Trujillo, S., Trapman, T. (2015). A Safety Concept for an IEC-61508 Compliant Fail-Safe Wind Power Mixed-Criticality System Based on Multicore and Partitioning. In: de la Puente, J., Vardanega, T. (eds) Reliable Software Technologies – Ada-Europe 2015. Ada-Europe 2015. Lecture Notes in Computer Science(), vol 9111. Springer, Cham. https://doi.org/10.1007/978-3-319-19584-1_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-19584-1_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-19583-4

  • Online ISBN: 978-3-319-19584-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics