Skip to main content

Virtualization Guided Tsunami and Storm Surge Simulations for Low Power Architectures

  • Conference paper
  • First Online:
  • 501 Accesses

Part of the book series: Advances in Intelligent Systems and Computing ((AISC,volume 442))

Abstract

Performing a tsunami or storm surge simulation in real time on low power computation devices is a highly challenging research topic with a big impact on the lives of many people. In order to advance this topic further a tight collaboration between mathematics and computer science is needed. Mathematical models must be combined with numerical methods which, in turn, directly determine the computational performance and efficiency of the solution. Also, code parallelization is required in order to obtain accurate and fast simulation results. Traditional approaches in high performance computing require a lot of computational power and significant amounts of electrical energy; they are also highly dependent on uninterrupted access to a reliable network and power supply. We present a concept how to develop solutions for suitable low power hardware architectures for tsunami and storm surge simulations based on cooperative software and hardware simulation. The main goal is to enable in situ simulations on potentially battery-powered device on site. Flood warning systems in regions with weak or unreliable power, network and computing infrastructure could largely benefit from our approach as it would significantly decrease the risk of network or power failure during the computation.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    http://www.arm.com/.

  2. 2.

    http://openmp.org/.

References

  1. Aizinger, V., Proft, J., Dawson, C., Pothina, D., Negusse, S.: A three-dimensional discontinuous galerkin model applied to the baroclinic simulation of corpus christi bay. Ocean Dyn. 63, 89–113 (2013)

    Google Scholar 

  2. Rajovic, N., Carpenter, P.M., Gelado, I., Puzovic, N., Ramirez, A., Valero, M.: Supercomputing with commodity CPUs: are mobile SOCs ready for HPC? In: Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis, SC’13, vol. 40, no. 12, pp. 1–40. ACM, New York, NY, USA (2013)

    Google Scholar 

  3. Göddeke, D., Komatitsch, D., Geveler, M., Ribbrock, D., Rajovic, N., Puzovic, N., Ramirez, A.: Energy efficiency vs. performance of the numerical solution of PDEs: an application study on a low-power ARM-based cluster. J. Comput. Phys. 237, 132–150 (2013)

    Article  Google Scholar 

  4. Rajovic, N., Rico, A., Puzovic, N., Adeniyi-Jones, C., Ramirez, A.: Tibidabo: making the case for an ARM-based {HPC} system. Future Gener. Comput. Syst. 36, 322–334 (2014) (Special Section: Intelligent Big Data Processing. Special Section: Behavior Data Security Issues in Network Information Propagation. Special Section: Energy-efficiency in Large Distributed Computing Architectures. Special Section: eScience Infrastructure and Applications)

    Google Scholar 

  5. ITMC TU Dortmund: Official lido website. https://www.itmc.uni-dortmund.de/dienste/hochleistungsrechnen/lido.html (2015). Last visit on 26 Mar 2015

  6. Castro, M., Francesquini, E., Nguélé, T.M., Méhaut, J.F.: Analysis of computing and energy performance of multicore, NUMA, and manycore platforms for an irregular application. In: Proceedings of the 3rd Workshop on Irregular Applications: Architectures and Algorithms, IA3’13, vol. 8, pp. 1–5. ACM, New York, NY, USA (2013)

    Google Scholar 

  7. Applegate, D., Bixby, R., Chvátal, V., Cook, W.: The Traveling Salesman Problem: A Computational Study: A Computational Study. Princeton Series in Applied Mathematics. Princeton University Press (2011)

    Google Scholar 

  8. KALRAY Corporation: Official kalray mppa processor website. http://www.kalrayinc.com/kalray/products/#processors (2015). Last visit on 31 Mar 2015

  9. NVIDIA Corporation: Official NVIDIA SECO development kit website. https://developer.nvidia.com/seco-development-kit (2015). Last visit on 31.03.2015

  10. Rajovic, N., Rico, A., Vipond, J., Gelado, I., Puzovic, N., Ramirez, A.: Experiences with mobile processors for energy efficient HPC. In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE’13, pp. 464–468, San Jose, CA, USA, EDA Consortium (2013)

    Google Scholar 

  11. NVIDIA Corporation: Official NVIDIA Tegra 2 website. http://www.nvidia.com/object/tegra-superchip.html (2015). Last visit on 27 Mar 2015

  12. NVIDIA Corporation: Official NVIDIA Tegra 3 website. http://www.nvidia.com/object/tegra-3-processor.html (2015). Last visit on 27 Mar 2015

  13. Miller, J., Kasture, H., Kurian, G., Gruenwald, C., Beckmann, N., Celio, C., Eastep, J., Agarwal, A.: Graphite: a distributed parallel simulator for multicores. In: 2010 IEEE 16th International Symposium on High Performance Computer Architecture (HPCA), pp. 1–12 (2010)

    Google Scholar 

  14. Kurian, G., Neuman, S., Bezerra, G., Giovinazzo, A., Devadas, S., Miller, J.: Power modeling and other new features in the graphite simulator. In: 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 132–134 (2014)

    Google Scholar 

  15. Carlson, T.E., Heirman, W., Eeckhout, L.: Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulations. In: International Conference for High Performance Computing, Networking, Storage and Analysis (SC), vol. 12, pp. 1–52 (2011)

    Google Scholar 

  16. Li, S., Ahn, J.H. Strong, R.D., Brockman, J.B., Tullsen, D.M., Jouppi, N.P.: The McPAT framework for multicore and manycore architectures: simultaneously modeling power, area, and timing. ACM Trans. Archit. Code Optim. 10(29), 1–5 (2013)

    Google Scholar 

  17. Heirman, W., Sarkar, S., Carlson, T.E., Hur, I., Eeckhout, L.: Power-aware multi-core simulation for early design stage hardware/software co-optimization. In: International Conference on Parallel Architectures and Compilation Techniques (PACT) (2012)

    Google Scholar 

  18. SocLib Project: Official SoCLib developer website. http://www.soclib.fr/trac/dev (2015). Last visit on 01 Feb 2015

  19. Accellera Systems Initiative: Official systemc website. http://www.systemc.org (2015). Last visit on 02 Feb 2015

  20. Atitallah, R., Niar, S., Greiner, A., Meftali, S., Dekeyser, J.: Estimating energy consumption for an MPSoC architectural exploration. In: Grass, W., Sick, B., Waldschmidt, K. (eds.) Architecture of Computing Systems—ARCS 2006. Lecture Notes in Computer Science, vol. 3894, pp. 298–310. Springer, Berlin Heidelberg (2006)

    Chapter  Google Scholar 

  21. Weaver, V.M., McKee, S.A.: Are cycle accurate simulations a waste of time? In: Proceedings of 7th Workshop on Duplicating, Deconstructing, and Debunking (2008)

    Google Scholar 

  22. Lis, M., Ren, P., Cho, M.H., Shim, K.S., Fletcher, C., Khan, O., Devadas, S.: Scalable, accurate multicore simulation in the 1000-core era. In: 2011 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 175–185 (2011)

    Google Scholar 

  23. Binkert, N., Beckmann, B., Black, G., Reinhardt, S.K., Saidi, A., Basu, A., Hestness, J., Hower, D.R., Krishna, T., Sardashti, S., Sen, R., Sewell, K., Shoaib, M., Vaish, N., Hill, M.D., Wood, D.A.: The gem5 simulator. SIGARCH Comput. Archit. News 39, 1–7 (2011)

    Article  Google Scholar 

  24. Binkert, N.L., Dreslinski, R.G., Hsu, L.R., Lim, K.T., Saidi, A.G., Reinhardt, S.K.: The m5 simulator: modeling networked systems. IEEE Micro 26, 52–60 (2006)

    Article  Google Scholar 

  25. GEMS Development Team: Official gems website. http://research.cs.wisc.edu/gems/ (2015). Last visit on 02 Feb 2015

  26. Endo, F.A., Couroussé, D., Charles, H.P.: Micro-architectural simulation of embedded core heterogeneity with gem5 and mcpat. In: Proceedings of the 2015 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, RAPIDO’15, vol. 6, pp. 1–7. ACM, New York, NY, USA (2015)

    Google Scholar 

  27. Bellard, F.: QEMU, a fast and portable dynamic translator. In: USENIX Annual Technical Conference, FREENIX Track, pp. 41–46 (2005)

    Google Scholar 

  28. Imperas Software Limited: OVP Guide to Using Processor Models. Imperas Buildings, North Weston, Thame, Oxfordshire, OX9 2HA, UK. Version 0.5, docs@imperas.com (2015)

    Google Scholar 

  29. Rosa, F., Ost, L., Raupp, T., Moraes, F., Reis, R.: Fast energy evaluation of embedded applications for many-core systems. In: 2014 24th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp. 1–6 (2014)

    Google Scholar 

  30. Dawson, C., Aizinger, V.: A discontinuous galerkin method for three-dimensional shallow water equations. J. Sci. Comput. 22, 245–267 (2005)

    Article  MathSciNet  MATH  Google Scholar 

  31. Cockburn, B., Shu, C.W.: The local discontinuous galerkin method for time-dependent convection-diffusion systems. SIAM J. Numer. Anal. 35, 2440–2463 (1998)

    Article  MathSciNet  MATH  Google Scholar 

  32. Imperas Software Limited: OVPsim and Imperas CpuManager User Guide. Imperas Buildings, North Weston, Thame, Oxfordshire, OX9 2HA, UK. Version 2.3.7, docs@imperas.com (2015)

    Google Scholar 

  33. Altera Corporation: Cyclone V SoC Development Kit User Guide. https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ug/ug_cv_soc_dev_kit.pdf (2013). Last visit on 07 May 2015

  34. Imperas Software Limited: Description of Altera Cyclone V SoC. http://www.ovpworld.org/library/wikka.php?wakka=AlteraCycloneVHPS (2015). Last visit on 29 Apr 2015

  35. Berschneider, S., Herglotz, C., Reichenbach, M., Fey, D., Kaup, A.: Estimating video decoding energies and processing times utilizing virtual hardware. In: Proceedings of 3PMCES Workshop, Design, Automation and Test in Europe (DATE) (2014)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Dominik Schoenwetter .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this paper

Cite this paper

Schoenwetter, D., Ditter, A., Kleinert, B., Hendricks, A., Aizinger, V., Fey, D. (2016). Virtualization Guided Tsunami and Storm Surge Simulations for Low Power Architectures. In: Obaidat, M., Kacprzyk, J., Ören, T., Filipe, J. (eds) Simulation and Modeling Methodologies, Technologies and Applications. Advances in Intelligent Systems and Computing, vol 442. Springer, Cham. https://doi.org/10.1007/978-3-319-31295-8_7

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-31295-8_7

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-31294-1

  • Online ISBN: 978-3-319-31295-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics