Skip to main content

Exploring Performance Overhead Versus Soft Error Detection in Lockstep Dual-Core ARM Cortex-A9 Processor Embedded into Xilinx Zynq APSoC

  • Conference paper
  • First Online:
Applied Reconfigurable Computing (ARC 2017)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 10216))

Included in the following conference series:

Abstract

This paper explores the use of dual-core lockstep as a fault-tolerance solution to increase the dependability in hard-core processors embedded in APSoCs. As a case study, we designed and implemented an approach based on lockstep to protect a dual-core ARM Cortex-A9 processor embedded into Zynq-7000 APSoC. Experimental results show the effectiveness of the proposed approach in mitigate around 91% of bit flips injected in the ARM registers. Also, it is observed that performance overhead depends on the application size, the number of checkpoints performed, and the checkpoint and rollback routines.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Siegle, F., et al.: Mitigation of radiation effects in sram-based fpgas for space applications. ACM Comput. Surv. 47(2), 37:1–37:34 (2015)

    Article  Google Scholar 

  2. Normand, E.: Correlation of inflight neutron dosimeter and seu measurements with atmospheric neutron model. IEEE Trans. Nucl. Sci. 48(6), 1996–2003 (2001)

    Article  Google Scholar 

  3. Azambuja, J., et al.: Hybrid Fault Tolerance Techniques to Detect Transient Faults in Embedded Processors. Springer International Publishing, Switzerland (2016)

    Google Scholar 

  4. Bowen, N.S., Pradham, D.K.: Processor- and memory-based checkpoint and rollback recovery. Computer 26(2), 22–31 (1993)

    Article  Google Scholar 

  5. Reorda, M.S., et al.: A low-cost see mitigation solution for soft-processors embedded in systems on pogrammable chips. In: DATE, pp. 352–357, April 2009

    Google Scholar 

  6. Violante, M., et al.: A low-cost solution for deploying processor cores in harsh environments. IEEE Trans. Ind. Electron. 58(7), 2617–2626 (2011)

    Article  Google Scholar 

  7. Gomez-Cornejo, J., et al.: Fast context reloading lockstep approach for SEUs mitigation in a FPGA soft core processor. In: IECON, pp. 2261–2266, November 2013

    Google Scholar 

  8. Pham, H.M., et al.: Low-overhead fault-tolerance technique for a dynamically reconfigurable softcore processor. IEEE Trans. Comput. 62(6), 1179–1192 (2013)

    Article  MathSciNet  Google Scholar 

  9. Abate, F., et al.: A new mitigation approach for soft errors in embedded processors. IEEE Trans. Nucl. Sci. 55(4), 2063–2069 (2008)

    Article  Google Scholar 

  10. Cortex-R5 and Cortex-R5F Technical Reference Manual. Rev: r1p1 (2010–2011)

    Google Scholar 

  11. Tambara, L.A., et al.: Analyzing the impact of radiation-induced failures in programmable SoCs. IEEE Trans. Nucl. Sci. 63(4), 2217–2224 (2016)

    Article  Google Scholar 

  12. ARM\(\textregistered \) Architecture Reference Manual. ARMv7-A and ARMv7-R edition (2012)

    Google Scholar 

  13. Taylor, A.: How to use interrupts on the Zynq SoC. Xcell J. 87, 38–43 (2014)

    Google Scholar 

  14. Rezgui, S., et al.: Estimating error rates in processor-based architectures. IEEE Trans. Nucl. Sci. 48(5), 1680–1687 (2001)

    Article  Google Scholar 

  15. Velazco, R., et al.: Predicting error rate for microprocessor-based digital architectures through C.E.U. (code emulating upsets) injection. IEEE Trans. Nucl. Sci. 47(6), 2405–2411 (2000)

    Article  Google Scholar 

  16. Lins, F., et al.: Register file criticality on embedded microprocessor reliability. In: Proceedings RADECS (2016)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ádria Barros de Oliveira .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this paper

Cite this paper

de Oliveira, Á.B., Tambara, L.A., Kastensmidt, F.L. (2017). Exploring Performance Overhead Versus Soft Error Detection in Lockstep Dual-Core ARM Cortex-A9 Processor Embedded into Xilinx Zynq APSoC. In: Wong, S., Beck, A., Bertels, K., Carro, L. (eds) Applied Reconfigurable Computing. ARC 2017. Lecture Notes in Computer Science(), vol 10216. Springer, Cham. https://doi.org/10.1007/978-3-319-56258-2_17

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-56258-2_17

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-56257-5

  • Online ISBN: 978-3-319-56258-2

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics