Skip to main content

A Virtual Channel Allocation Algorithm for NoC

  • Conference paper
  • First Online:

Abstract

Virtual channel (VC) flow control proves to be an alternative way to promote network performance, but uniform VC allocation in the network may be at the cost of chip area and power consumption. We propose a novel VC number allocation algorithm customizing the VCs in network based on the characteristic of the target application. Given the characteristic of target application and total VC number budget, the block probability for each port of nodes in the network can be obtained with an analytical model. Then VCs are added to the port with the highest block probability one by one. The simulation results indicate that the proposed algorithm reduces buffer consumption by 14.58%–51.04% under diverse traffic patterns and VC depth, while keeping similar network performance.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Ho, R., Mai, K., Horowitz, M.: The future of wires. Proc. IEEE 89(4), 490–504 (2001)

    Article  Google Scholar 

  2. Dally, W.J., Towles, B.: Route packets, not wires: on-chip interconnection networks. In: The 38th Design Automation Conference, pp. 684–689 (2001)

    Google Scholar 

  3. Benini, L., De Micheli, G.: Networks on chips: a new SoC paradigm. IEEE Trans. Comput. 35(1), 70–78 (2002)

    Google Scholar 

  4. Guerrier, P., Greiner, A.: A generic architecture for on-chip packet-switched interconnections. In: Design Automation and Test in Europe (DATE 2000), pp. 250–256 (2000)

    Google Scholar 

  5. Bjerregaard, T., Mahadevan, S.: A survey of research and practices of network-on-chip. ACM Comput. Surv. 38(3), 1–51 (2006)

    Article  Google Scholar 

  6. Kim, J., Nicopoulos, C., Park, D., et al.: A gracefully degrading and energy-efficient modular router architecture for on-chip networks. In: The 33rd International Symposium on Computer Architecture (ISCA 2006), pp. 4–15 (2006)

    Google Scholar 

  7. Hu, J., Marculescu, R.: Application-specific buffer space allocation for networks-on-chip router design. In: The IEEE/ACM International Conference on Computer Aided Design (ICCAD), pp. 354–361 (2004)

    Google Scholar 

  8. Nicopoulos, C.A., Park, D., Kim, J., et al.: VichaR: a dynamic virtual channel regulator for network-on-chip routers. In: The 39th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 333–344 (2006)

    Google Scholar 

  9. Chen, X., Peh, L.-S.: Leakage power modeling and optimization in interconnection networks. In: The International Symposium on Low Power Electronics and Design, pp. 90–95 (2003)

    Google Scholar 

  10. Matsutani, H., Koibuchi, M., Wang, D., Amano, H.: Run-time power gating of on-chip routers using look-ahead routing. In: Design Automation Conference (ASPDAC), pp. 55–60 (2008)

    Google Scholar 

  11. Matsutani, H., Koibuchi, M., Wang, D., Amano, H.: Adding slow-slient virtual channels for low-power on-chip networks. In: The 2nd IEEE International Symposium on Networks-On-Chip, pp. 23–32 (2008)

    Google Scholar 

  12. Ding, J., Bhuyan, L.N.: Evaluation of multi-queue buffered multistage interconnection networks under uniform and non-uniform traffic patterns. Int. J. Syst. Sci. 28(11), 1115–1128 (1997)

    Article  MATH  Google Scholar 

  13. Ni, N., Pirvu, M., Bhuyan, L.: Circular buffered switch design with wormhole routing and virtual channels. In: Computer Design: VLSI in Computers and Processors, pp. 466–473 (1998)

    Google Scholar 

  14. Bolotin, E., Cidon, I., Ginosar, R., Kolodny, A.: QNoC: QoS architecture and design process for network on chip. Spec. Issue Netw. Chip J. Syst. Architect. 50(2–3), 105–128 (2004)

    Article  Google Scholar 

  15. Huang, T., Ogras, U.Y., Marculescu, R.: Virtual channels planning for networks-on-chip. In: Proceedings of the 8th International on Quality Electronic Design (ISQED), pp. 879–884 (2007)

    Google Scholar 

Download references

Acknowledgment

This work has been supported by the Research Funds of Education Department of Heilongjiang Province, Grant No. 12531518.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Xiaoming Li .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 ICST Institute for Computer Sciences, Social Informatics and Telecommunications Engineering

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Bao, D. et al. (2018). A Virtual Channel Allocation Algorithm for NoC. In: Gu, X., Liu, G., Li, B. (eds) Machine Learning and Intelligent Communications. MLICOM 2017. Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering, vol 227. Springer, Cham. https://doi.org/10.1007/978-3-319-73447-7_37

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-73447-7_37

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-73446-0

  • Online ISBN: 978-3-319-73447-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics