Skip to main content

Research on Optimizing Last Level Cache Performance for Hybrid Main Memory

  • Conference paper
  • First Online:
Smart Computing and Communication (SmartCom 2017)

Part of the book series: Lecture Notes in Computer Science ((LNISA,volume 10699))

Included in the following conference series:

  • 1831 Accesses

Abstract

Hybrid main memory including DRAM and non-volatile memory (NVM) such as phase change memory (PCM) has became a perfect substitute to DRAM-based main memory. Because it has the advantage about high performance and energy-efficient in embedded systems. The effective management of last level cache is very important which can reduce cache misses and has important practical significance on the improvement of overall system performance. In last level caches, the common used cache replacement algorithm Least Recently Used (LRU) may cause cache pollution by inserting non-reusable data into the cache. In this article we research the hybrid main memory but now the existing cache policies fail to fully solve the asymmetry between the operations of NVM and DRAM. To solve these problems we mentioned above, we propose a Process-based Pollute Region Isolation (PPRI) algorithm for improving the efficiency of last level cache utilization. It is a good way to eliminate competition between reusable and nonreusable cache lines. We also propose an improved last-level cache management scheme ILRU for the hybrid main memory which improves the cache hit ratio and minimizes write-backs to PCM. Experimental results show that the proposed framework can get better performance (average improved 17.39%) and more energy saving (average decreased 12.46%) compared with the latest cache management schemes for hybrid main memory architecture.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Ho, Y., Huang, G.M., Li, P.: Nonvolatile memristor memory: device characteristics and design implicatons. In: Proceedings of the 2009 International Conference on Computer-Aided Design, ICCAD 2009, pp. 485–490. ACM, New York (2009)

    Google Scholar 

  2. Qiu, M., Ming, Z., Li, J., Gai, K., Zong, Z.: Phase-change memory optimization for green cloud with genetic algorithm. IEEE Trans. Comput. 64(12), 3528–3540 (2015)

    Article  MathSciNet  MATH  Google Scholar 

  3. Kang, D.-H., Lee, J.-H., Kong, J.H., Ha, D., Yu, J., Um, C.Y., Park, J.H., Yeung, F., Kim, J.H., Park, W.I., Jeon, Y.J., Lee, M.K., Song, Y.J., Oh, J.H., Jeong, G.T., Jeong, H.S.: Two-bit cell operation in diode-switch phase change memory cells with 90 nm technology. In: Proceedings of the 2008 Symposium on VLSI Technology, pp. 98–99 (2008)

    Google Scholar 

  4. Hay, A., Strauss, K., Sherwood, T., Loh, G.H., Burger, D.: Preventing PCM banks from seizing too much power. In: Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 2011, pp. 186–195. ACM, New York (2011)

    Google Scholar 

  5. Cho, S., Lee, H.: Flip-N-Write: a simple deterministic technique to improve PRAM write performance, energy and endurance. In: Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-42, pp. 347–357. ACM, New York (2009)

    Google Scholar 

  6. Qureshi, M.K., Srinivasan, V., Rivers, J.A.: Scalable high performance main memory system using phase-change memory technology. ACM SIGARCH Comput. Archit. News 37(3), 24–33 (2009)

    Article  Google Scholar 

  7. Ramos, L.E., Gorbatov, E., Bianchini, R.: Page placement in hybrid memory systems. In: The International Conference on Supercomputing, Tucson (USA), pp. 85–95. ACM (2011)

    Google Scholar 

  8. Zhang, W., Li, T.: Exploring phase change memory and 3D die-stacking for power/thermal friendly, fast and durable memory architectures. In: International Conference on Parallel Architectures and Compilation Techniques, pp. 101–112. IEEE (2009)

    Google Scholar 

  9. Qiu, M., Chen, Z., Ming, Z., Qin, X., Niu, J.: Energy-aware data allocation with hybrid memory for mobile cloud systems. IEEE Syst. J. 11(2), 1–10 (2014)

    Google Scholar 

  10. Wei, W., Jiang, D., Xiong, J., Chen, M.: HAP: hybrid-memory-aware partition in shared last-level cache. In: IEEE International Conference on Computer Design (ICCD), pp. 28–35. IEEE (2014)

    Google Scholar 

  11. Qiu, M., Ming, Z., Li, J., Liu, S., Wang, B., Lu, Z.: Three-phase time-aware energy minimization with DVFS and unrolling for chip multiprocessors. J. Syst. Archit. 58(10), 439–445 (2012)

    Article  Google Scholar 

  12. Henning, J.L.: SPEC CPU 2006 benchmark descriptions. ACM SIGARCH Comput. Archit. News 34(4), 1–17 (2006)

    Article  Google Scholar 

  13. Binkert, N., et al.: The gem5 simulator. ACM SIGARCH Comput. Archit. News 39(2), 1–7 (2011)

    Article  Google Scholar 

  14. Poremba, M., Xie, Y.: NVMain: an architectural-level main memory simulator for emerging non-volatile memories. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 392–397. IEEE (2012)

    Google Scholar 

  15. Zhou, M., et al.: Writeback-aware partitioning and replacement for last-level caches in phase change main memory systems. ACM Trans. Archit. Code Optim. (TACO) 8(4), 53 (2012)

    Google Scholar 

  16. Wang, Z., et al.: WADE: writeback-aware dynamic cache management for NVM-based main memory system. ACM Trans. Archit. Code Optim. (TACO) 10(4), 51 (2013)

    Google Scholar 

  17. Rodríguez-Rodríguez, et al.: Write-aware replacement policies for PCM-based systems. The Comput. J. 58(9), 2000–2005 (2014)

    Article  Google Scholar 

  18. Zhang, X., et al.: A read-write aware replacement policy for phase change memory. In: Advanced Parallel Processing Technologies, pp. 31–45 (2011)

    Google Scholar 

  19. Ferreira, A.P., et al.: Increasing PCM main memory lifetime. In: The Conference on Design, Automation and Test in Europe, pp. 914–919 (2010)

    Google Scholar 

  20. Gai, K., Qiu, M., Zhao, H.: Cost-aware multimedia data allocation for heterogeneous memory using genetic algorithm in cloud computing. IEEE Trans. Cloud Comput. PP(99), 1 (2016)

    Article  Google Scholar 

  21. Gai, K.K., Qiu, M.K., Zhao, H., Qiu, L.F.: Smart energy-aware data allocation for heterogeneous memory. In: IEEE International Conference on High Performance Computing and Communications (HPCC), pp. 136–143. IEEE (2016)

    Google Scholar 

  22. Gai, K., Qiu, M., Zhao, H.: Energy-aware task assignment for mobile cyber-enabled applications in heterogeneous cloud computing. J. Parallel Distrib. Comput. 111, 126–135 (2018)

    Article  Google Scholar 

  23. Gai, K., Qiu, M., Zhao, H., Tao, L., Zong, Z.: Dynamic energy-aware cloudlet-based mobile cloud computing model for green computing. J. Netw. Comput. Appl. 59, 46–54 (2016)

    Article  Google Scholar 

  24. Gai, K., Qiu, M., Sun, X.: A survey on FinTech. J. Netw. Comput. Appl. PP, 1 (2017)

    Google Scholar 

Download references

Acknowledgments

This research is supported by the key Project of DEGP #2014GKCG031.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Zhong Ming .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Zheng, H., Ming, Z., Qiu, M., Zhang, X. (2018). Research on Optimizing Last Level Cache Performance for Hybrid Main Memory. In: Qiu, M. (eds) Smart Computing and Communication. SmartCom 2017. Lecture Notes in Computer Science(), vol 10699. Springer, Cham. https://doi.org/10.1007/978-3-319-73830-7_15

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-73830-7_15

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-73829-1

  • Online ISBN: 978-3-319-73830-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics