Skip to main content

A Taxonomy and Evaluation Framework for Memristive Logic

  • Chapter
  • First Online:
Handbook of Memristor Networks

Abstract

Memristive logic design, the methodology of designing logic circuits using memristors, is an emerging concept whose growth is fueled by the quest for energy-efficient computing systems. Many memristive logic families have evolved, with diverse attributes, and a mature comparison is needed to judge their merits. This chapter presents a framework for comparing logic families by classifying them on the basis of fundamental properties, statefulness, proximity (to the memory array), and flexibility of computation. We propose metrics to compare memristive logic families using analytic expressions for latency, energy efficiency, and area. We then conduct a case study of an eight-bit addition operation to demonstrate our evaluation methodology. We also perform vector operations and give insights into the potential of these logic families to compute on large sets of data. Our purpose is to provide a methodology for comparing existing logic families and facilitate the evaluation of new ones.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 299.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 379.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Aga, S., Jeloka, S., Subramaniyan, A., Narayanasamy, S., Blaauw, D., Das, R.: Compute caches. In: IEEE International Symposium on High Performance Computer Architecture (HPCA), pp. 481–492 (2017)

    Google Scholar 

  2. Amar, L., Gaillardon, P.E., Micheli, G.D.: Majority-inverter graph: A new paradigm for logic optimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35(5), 806–819 (2016)

    Article  Google Scholar 

  3. Ben-Hur, R., Kvatinsky, S.: Memristive memory processing unit (MPU) controller for in-memory processing. In: 2016 IEEE International Conference on the Science of Electrical Engineering (ICSEE), pp. 1–5 (2016)

    Google Scholar 

  4. Ben-Hur, R., Wald, N., Talati, N., Kvatinsky, S.: Simple magic: Synthesis and in-memory mapping of logic execution for memristor aided logic. In: IEEE/ACM International Conference On Computer Aided Design (ICCAD), pp. 225–232 (2017)

    Google Scholar 

  5. Bhattacharjee, D., Chattopadhyay, A.: Delay-optimal technology mapping for in-memory computing using ReRAM devices. In: International Conference on Computer-Aided Design (ICCAD), pp. 1–6 (2016)

    Google Scholar 

  6. Chattopadhyay, A., Amar, L., Soeken, M., Gaillardon, P.E., Micheli, G.D.: Notes on majority boolean algebra. In: 2016 IEEE 46th International Symposium on Multiple-Valued Logic (ISMVL), pp. 50–55 (2016)

    Google Scholar 

  7. Dally, W.J.: Challenges for future computing systems. In: HiPEAC keynote (2015)

    Google Scholar 

  8. Gaillardon, P.E., Amar, L., Siemon, A., Linn, E., Waser, R., Chattopadhyay, A., Micheli, G.D.: The programmable logic-in-memory (plim) computer. In: 2016 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 427–432 (2016)

    Google Scholar 

  9. Ghofrani, A., Lastras-Montao, M.A., Cheng, K.T.: Towards data reliable crossbar-based memristive memories. In: 2013 IEEE International Test Conference (ITC), pp. 1–10 (2013)

    Google Scholar 

  10. Gokhale, M., Holmes, B., Iobst, K.: Processing in memory: the terasys massively parallel PIM array. Computer 28(4), 23–31 (1995)

    Article  Google Scholar 

  11. Guckert, L., Swartzlander, E.E.: MAD gates: Memristor logic design using driver circuitry. IEEE Trans. Circuits Syst. II, Exp. Briefs 64(2), 171–175 (2017)

    Article  Google Scholar 

  12. Hassan, S.M., Yalamanchili, S., Mukhopadhyay, S.: Near data processing: Impact and optimization of 3D memory system architecture on the uncore. In: Proceedings of the 2015 International Symposium on Memory Systems, pp. 11–21. ACM, New York, NY, USA (2015)

    Google Scholar 

  13. Kawahara, A., Azuma, R., Ikeda, Y., Kawai, K., Katoh, Y., Hayakawa, Y., Tsuji, K., Yoneda, S., Himeno, A., Shimakawa, K., Takagi, T., Mikawa, T., Aono, K.: An 8 mb multi-layered cross-point reram macro with 443 mb/s write throughput. IEEE J. Solid-State Circuits 48(1), 178–185 (2013)

    Article  Google Scholar 

  14. Kvatinsky, S., Belousov, D., Liman, S., Satat, G., Wald, N., Friedman, E.G., Kolodny, A., Weiser, U.C.: MAGIC- Memristor-Aided Logic. IEEE Trans. Circuits Syst. II, Exp. Briefs 61(11), 895–899 (2014)

    Article  Google Scholar 

  15. Kvatinsky, S., Friedman, E.G., Kolodny, A., Weiser, U.C.: The desired memristor for circuit designers. IEEE Circuits Syst. Mag. 13(2), 17–22 (2013)

    Article  Google Scholar 

  16. Kvatinsky, S., Ramadan, M., Friedman, E.G., Kolodny, A.: Vteam: A general model for voltage-controlled memristors. IEEE Transactions on Circuits and Systems II: Express Briefs 62(8), 786–790 (2015)

    Article  Google Scholar 

  17. Kvatinsky, S., Satat, G., Wald, N., Friedman, E.G., Kolodny, A., Weiser, U.C.: Memristor-based material implication (IMPLY) logic: Design principles and methodologies. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 22(10), 2054–2066 (2014)

    Article  Google Scholar 

  18. Kvatinsky, S., Wald, N., Satat, G., Kolodny, A., Weiser, U.C., Friedman, E.G.: MRL: Memristor Ratioed Logic. In: International Workshop on Cellular Nanoscale Networks and their Applications, pp. 1–6 (2012)

    Google Scholar 

  19. Levy, Y., Bruck, J., Cassuto, Y., Friedman, E.G., Kolodny, A., Yaakobi, E., Kvatinsky, S.: Logic operations in memory using a memristive akers array. Microelectronics Journal 45(11), 1429–1437 (2014)

    Article  Google Scholar 

  20. Li, S., Xu, C., Zou, Q., Zhao, J., Lu, Y., Xie, Y.: Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories. In: 53nd Design Automation Conference (DAC), pp. 1–6 (2016)

    Google Scholar 

  21. Nguyen, H.A.D., Xie, L., Taouil, M., Hamdioui, S., Bertels, K.: Synthesizing HDL to memristor technology: A generic framework. In: 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp. 43–48 (2016)

    Google Scholar 

  22. Papandroulidakis, G., Vourkas, I., Abusleme, A., Sirakoulis, G.C., Rubio, A.: Crossbar-based memristive logic-in-memory architecture. IEEE Trans. Nanotechnol. 16(3), 491–501 (2017)

    Article  Google Scholar 

  23. Papandroulidakis, G., Vourkas, I., Vasileiadis, N., Sirakoulis, G.C.: Boolean logic operations and computing circuits based on memristors. IEEE Trans. Circuits Syst. II, Exp. Briefs 61(12), 972–976 (2014)

    Article  Google Scholar 

  24. Pedram, A., Richardson, S., Horowitz, M., Galal, S., Kvatinsky, S.: Dark memory and accelerator-rich system optimization in the dark silicon era. IEEE Des. Test. 34(2), 39–50 (2017)

    Article  Google Scholar 

  25. Raghuvanshi, A., Perkowski, M.: Logic synthesis and a generalized notation for memristor-realized material implication gates. In: International Conference on Computer-Aided Design (ICCAD), pp. 470–477 (2014)

    Google Scholar 

  26. Rose, G.S., Rajendran, J., Manem, H., Karri, R., Pino, R.E.: Leveraging memristive systems in the construction of digital logic circuits. Proc. IEEE 100(6), 2033–2049 (2012)

    Article  Google Scholar 

  27. Seshadri, V., Hsieh, K., Boroum, A., Lee, D., Kozuch, M.A., Mutlu, O., Gibbons, P.B., Mowry, T.C.: Fast bulk bitwise AND and OR in DRAM. IEEE Comput. Archit. Lett 14(2), 127–131 (2015)

    Article  Google Scholar 

  28. Shirinzadeh, S., Soeken, M., Gaillardon, P.E., Drechsler, R.: Fast logic synthesis for RRAM-based in-memory computing using majority-inverter graphs. In: Design, Automation Test in Europe Conference Exhibition, pp. 948–953 (2016)

    Google Scholar 

  29. Strukov, D.B., Likharev, K.K.: Cmol fpga: a reconfigurable architecture for hybrid digital circuits with two-terminal nanodevices. Nanotechnology 16(6), 888 (2005)

    Article  Google Scholar 

  30. Talati, N., Gupta, S., Mane, P., Kvatinsky, S.: Logic design within memristive memories using memristor-aided logic (MAGIC). IEEE Trans. Nanotechnol. 15(4), 635–650 (2016)

    Article  Google Scholar 

  31. Xie, L., Nguyen, H.A.D., Taouil, M., Hamdioui, S., Bertels, K.: Fast boolean logic mapped on memristor crossbar. In: International Conference on Computer Design (ICCD), pp. 335–342 (2015)

    Google Scholar 

  32. Xie, L., Nguyen, H.A.D., Taouil, M., Hamdioui, S., Bertels, K.: A mapping methodology of boolean logic circuits on memristor crossbar. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems PP(99), 1–1 (2017)

    Google Scholar 

  33. Xu, C., Niu, D., Muralimanohar, N., Balasubramonian, R., Zhang, T., Yu, S., Xie, Y.: Overcoming the challenges of crossbar resistive memory architectures. In: International Symposium on High Performance Computer Architecture (HPCA), pp. 476–488 (2015)

    Google Scholar 

  34. Zha, Y., Li, J.: Reconfigurable in-memory computing with resistive memory crossbar. In: 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–8 (2016)

    Google Scholar 

  35. Zha, Y., Li, J.: IMEC: A fully morphable in-memory computing fabric enabled by resistive crossbar. IEEE Comput. Archit. Lett. 16(2), 123–126 (2017)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Shahar Kvatinsky .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Reuben, J. et al. (2019). A Taxonomy and Evaluation Framework for Memristive Logic. In: Chua, L., Sirakoulis, G., Adamatzky, A. (eds) Handbook of Memristor Networks. Springer, Cham. https://doi.org/10.1007/978-3-319-76375-0_37

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-76375-0_37

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-76374-3

  • Online ISBN: 978-3-319-76375-0

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics